Социальные сети

Разработка и моделирование в программе Electronics Workbench. Лабораторные работы Полный двоичный сумматор

Программа ELECTRONICS WORKBENCH

Программа ELECTRONICS WORKBENCH позволяет моделировать и анализировать аналоговые, цифровые и цифро-аналоговые электрические схемы большой степени сложности. Имеющиеся в программе библиотеки включают в себя большой набор широко распространенных электронных компонентов, параметры которых можно изменять в широком диапазоне значений. Простые компоненты описываются набором параметров, значения которых можно изменить непосредственно с клавиатуры, активные элементы – моделью, представляющей собой совокупность параметров и описывающей конкретный элемент или его идеальное представление. Модель выбирается из списка библиотек компонентов, и ее параметры также могут быть изменены пользователем.

Широкий набор приборов позволяет производить измерения различных величин, задавать входные воздействия, строить графики. Все приборы изображаются в виде, максимально приближенном к реальному, поэтому работать с ними просто и удобно.

Возможности ELECTRONICS WORKBENCH

Основные достоинства программы:

1. Экономия времени:

электронная лаборатория всегда под рукой.

2. Достоверность измерений:

все элементы описываются строго заданными параметрами.

3. Удобство проведения измерений.

4. Графические возможности позволяют:

одновременно наблюдать несколько кривых на графике,

отображать кривые на графиках различными цветами,

изображать координаты точек на графике.

5. Анализ схем:

может производиться как во временной, так и в частотной областях; программа также позволяет проводить анализ цифро-аналоговых и цифровых схем.

Компоненты ELECTRONICS WORKBENCH

Базовые компоненты

Соединяющий узел

Узел применяется для соединения проводников и создания контрольных точек. К каждому узлу может подсоединяться не более четырех проводников.

После того, как схема собрана, можно вставить дополнительные узлы для подключения приборов.

Заземление

Компонент «заземление» имеет нулевое напряжение и, таким образом, обеспечивает исходную точку для отчета потенциалов.

Не все схемы нуждаются в заземлении для моделирования, однако любая схема, содержащая: операционный усилитель, трансформатор, управляемый источник, осциллограф, должна быть обязательно заземлена, иначе приборы не будут производить измерения или их показания окажутся неправильными.

Источник постоянного напряжения

ЭДС источника постоянного напряжения или батареи измеряется в вольтах и задается производными величинами (от мкВ до кВ).

Источник постоянного тока

Ток источника постоянного тока измеряется в амперах и задается производными величинами (от мкА до кА). Стрелка указывает направление тока (от «+» к «-»).

Источник переменного напряжения

Действующее значение напряжения источника измеряется в вольтах и задается производными величинами (от мкВ до кВ). Имеется возможность установки частоты и начальной фазы. Напряжение источника отсчитывается от вывода со знаком «~».

Источник переменного тока

Действующее значение тока источника измеряется в амперах и задается производными величинами (от мкА до кА). Имеется возможность установки частоты и начальной фазы. Напряжение источника отсчитывается от вывода со знаком «~».

Резистор

Сопротивление резистора измеряется в омах и задается производными величинами (от Ом до МОм).

Переменный резистор

Положение движка переменного резистора устанавливается при помощи специального элемента – стрелочки-регулятора. Для изменения положения движка необходимо нажать клавишу-ключ. Для увеличения значения положения движка необходимо одновременно нажать [ Shift] и клавишу-ключ, для уменьшения - клавишу-ключ.

Конденсатор

Емкость конденсатора измеряется в фарадах и задается производными величинами (от пФ до Ф).

Переменный конденсатор

Переменный конденсатор допускает возможность изменения величины емкости:

С = (начальное значение / 100) · коэффициент пропорциональности.

Катушка индуктивности

Индуктивность катушки измеряется в генри и задается производными величинами (от мкГн до Гн).

Катушка с переменной индуктивностью

Индуктивность катушки устанавливают, используя начальное ее значение и коэффициента пропорциональности, следующим образом:

L = (начальное значение / 100) · коэффициент пропорциональности.

Трансформатор

Трансформатор используется для преобразования напряжения U1 в напряжение U2. Коэффициент трансформации n равен отношению напряжения U1 на первичной обмотке к напряжению U2 на вторичной обмотке.

Реле

Электромагнитное реле может иметь нормально замкнутые или нормально разомкнутые контакты. Оно срабатывает, когда ток в управляющей обмотке превышает значение тока срабатывания Ion. Во время срабатывания происходит переключение пары нормально замкнутых контактов S2, S3 реле на пару нормально замкнутых контактов S2, S1 реле. Реле остается в состоянии срабатывания до тех пор, пока ток в управляющей обмотке превышает удерживающий ток Ihd. Значение тока Ihd должно быть меньше, чем Ion .

Ключ, управляемый напряжением

Ключ, управляемый напряжением, имеет два управляющих параметра: включающее и выключающее напряжения. Он замыкается, когда управляющее напряжение больше или равно включающему напряжению, и размыкается, когда оно равно или меньше, чем выключающее напряжение.

Ключ, управляемый током

Ключ, управляемый током, работает аналогично ключу, управляемому напряжением. Когда ток через управляющие выводы превышает ток включения, ключ замыкается; когда ток падает ниже тока выключения, ключ размыкается.

Мостовой выпрямитель

Мостовой выпрямитель предназначен для выпрямления переменного напряжения. При подаче на выпрямитель синусоидального напряжения среднее значение выпрямленного напряжения Udc можно приблизительно вычислить по формуле:

Udc = 0,636 (Up - 1,4), где Up - амплитуда входного синусоидального напряжения.

Диод

Ток через диод может протекать только в одном направлении - от анода A к - катоду K. Состояние диода (проводящее и непроводящее) определяется полярностью приложенного к диоду напряжения.

Светоизлучающий диод

Светоизлучающий диод излучает видимый свет, когда проходящий через него ток превышает пороговую величину.

Тиристор

У тиристора помимо анодного и катодного выводов имеется дополнительный вывод управляющего электрода. Он позволяет управлять моментом перехода прибора в проводящее состояние. Вентиль отпирается, когда ток управляющего электрода превысит пороговое значение, а к анодному выводу не будет приложено положительное смещение. Тиристор остается в открытом состоянии, пока к анодному выводу не будет приложено отрицательное напряжение.

Симистор

Симистор способен проводить ток в двух направлениях. Он запирается при изменении полярности протекающего через него тока и отпирается при подаче следующего управляющего импульса.

Динистор

Динистор – управляемый анодным напряжением двунаправленный переключатель. Динистор не проводит ток в обоих направлениях до тех пор, пока напряжение на нем не превысит напряжения переключения, тогда динистор переходит в проводящее состояние, его сопротивление становится равным нулю.

Операционный усилитель

Операционный усилитель предназначен для усиления сигналов. Он имеет обычно очень высокий коэффициент усиления по напряжению, высокое входное и низкое выходное сопротивление. Вход «+» является неинвертирующим, а вход «-» - инвертирующим. Модель операционного усилителя позволяет задавать параметры: коэффициент усиления, напряжения смещения, входные токи, входное и выходное сопротивления.

Входные и выходные сигналы ОУ должны быть заданы относительно земли.

Операционный усилитель с пятью выводами

ОУ с пятью выводами имеет два дополнительных вывода (положительный и отрицательный) для подключения питания.

Биполярные транзисторы

Биполярные транзисторы являются усилительными устройствами, управляемыми током. Они бывают двух типов: P-N-P и N-P-N.

Буквы означают тип проводимости полупроводникового материала, из которого изготовлен транзистор. В транзисторах обоих типов стрелкой отмечается эмиттер, направле­ние стрелки указывает направление протекания тока.

N-P-N транзистор

N-P-N транзистор имеет две n-области (коллектор С и эмиттер E) и одну p-область (базу В).

P-N-P транзистор

P-N-P транзистор имеет две p-области (коллектор С и эмиттер E) и одну n-область (базу В).

Полевые транзисторы (FET)

Полевые транзисторы управляются напряжением на затворе, то есть ток, протекающий через транзистор, зависит от напряжения на затворе. Полевой транзистор включает в себя протяженную область полупроводника n- или р- типа, называемую каналом. Канал оканчивается двумя электродами, которые называются истоком и стоком. Кроме канала n- или p- типа, полевой транзистор включает в себя область с противоположным каналу типом проводимости. Электрод, соединенный с этой областью, называют затвором.

Логические элементы

Логическое НЕ

Элемент логическое НЕ или инвертор изменяет состояние входного сигнала на противопо­ложное. Уровень логической единицы появляется на его выходе, когда на входе не единица, и наоборот.

Таблица истинности

Выражение булевой алгебры: Y=А × В.

Логическое ИЛИ

Элемент ИЛИ реализует функцию логического сложения. Уровень логической единицы на его выходе появляется в случае, когда на один или на другой вход подается уровень логической единицы.

Таблица истинности

Выражения булевой алгебры:

Элемент И - НЕ

Элемент И-НЕ реализует функцию логического умножения с последующей инверсией результата. Он представляется моделью из последовательно включенных элементов И и НЕ.

Таблица истинности элемента получается из таблицы истинности элемента И путем ин­версии результата.

Таблица истинности

Выражение булевой алгебры:

Исключающее ИЛИ - НЕ

Данный элемент реализует функцию «исключающее ИЛИ» с последующей инверсией результата. Он представляется моделью из двух последовательно соединенных элементов исключающее ИЛИ и НЕ.

Таблица истинности

Вход А Вход В Выход Y

Выражение булевой алгебры:

Узлы комбинационного типа

Полусумматор

Полусумматор производит сложение двух одноразрядных двоичных чисел. Он имеет два входа слагаемых: А, В и два выхода: суммы и переноса. Суммирование производится элементом Исключающее ИЛИ, а перенос - элементом И.

Таблица функционирования

Входы Выходы Примечание
А В сумма перенос
0+0=0
0+1=1
1+0=1
1+1=0 (перенос)

Выражения булевой алгебры: сумма = A Å B, перенос = А×В.

Полный двоичный сумматор

Полный двоичный сумматор производит сложение трех одноразрядных двоичных чисел. Результатом является двухразрядное двоичное число, младший разряд которого назван суммой, старший разряд – переносом.

Устройство имеет три входа и два выхода. Входы: слагаемых А, В и переноса. Выходы: суммы и переноса. Полный двоичный сумматор можно реализовать на двух полусумматорах и одном элементе ИЛИ.

Таблица функционирования

Входы Выходы
А В перенос сумма перенос

Дешифратор из 3 в 8

Дешифратор - логическое устройство, имеющее n входов и 2 n выходов. Каждой комбинации входного кода соответствует активный уровень на одном из 2 n выходов. Данный дешифратор имеет три входа адреса (А, B, С), два разрешающих входа (G1, G2) и 8 выходов (YО...Y7). Номер выхода, имеющего активное состояние, равен числу N, определяемому состоянием адресных входов:

N = 22 C+ 21 B+20 A.

Активным уровнем является уровень логического нуля. Дешифратор работает, если на входе G1 высокий потенциал, а на G2 - низкий. В других случаях все выходы пассивны, то есть имеют уровень логической единицы.

Таблица функционирования

Входы разрешения Адресные входы Выходы
G1 G2 A B C Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7
X X x X
X X x

Приоритетный шифратор из 8 в З

Шифратор выполняет операцию, обратную дешифратору. Строго говоря, только один из входов шифратора должен иметь активный уровень.

Данный шифратор при наличии на нескольких входах активного состояния активным считает вход со старшим номером. Кроме того, выход дешифратора инверсный, то есть зна­чения разрядов двоичного числа на выходе инвертированы. Если хотя бы один из входов шифратора в активном состоянии, выход GS также будет в активном состоянии, а выход Е0 - в пассивном и наоборот. При пассивном состоянии разрешающего входа Е1 выходы GS также будут пассивными. Активным уровнем так же, как и у дешифратора, является уровень логического нуля.

Таблица функционирования

E1 D0 D1 D2 D3 D4 D5 D6 D7 A2 A1 A0 GS E0
X X X X X X X X
X X X X X X X
X X X X X X
X X X X X
X X X X
X X X
X X
X

Мультиплексор из 8 в 1

Мультиплексор (селектор данных) осуществляет операцию передачи сигнала с выбранного входа на выход. Номер входа равен адресу - двоичному числу, определяемому состоя­нием адресных входов.

Данный мультиплексор имеет 12 входов; восемь из которых входы данных (D0 - D7), три входа адреса (А, В, С) и один разрешающий вход (ЕN). Мультиплексор работает при подаче на вход разрешения логического 0.

Выход W является дополнением выхода Y (W = Y).

Таблица функционирования

Входы Выходы
C B A EN Y W
X X X
D0 D0’
D1 D1’
D2 D2’
D3 D3’
D4 D4’
D5 D5’
D6 D6’
D7 D7’

Демультиплексор

Демультиплексор выполняет операцию, обратную мультиплексору. Он передает дан­ные со входа на тот выход, номер которого равен адресу. Данное устройство имеет 4 входа и 8 выходов. Входы адреса: А, В, С. Вход данных - G. Если на входе G логическая единица, то на всех выходах также логическая единица.

Таблица функционирования

Входы Выходы
G C B A O0 O1 O2 O3 O4 O5 O6 O7
X X X X

Узлы последовательного типа

Триггер - простейший последовательный элемент с двумя состояниями, содержащий элементарную запоминающую ячейку и схему управления, которая изменяет состояние элементарной ячейки. Состояние триггера зависит как от комбинации на входах, так и от предшествующего состояния. Триггерные устройства лежат в основе компьютерной опера­тивной памяти и используются во множестве последовательных схем. Триггер можно со­здать из простых логических элементов.

RS-триггер

RS-триггер имеет только два установочных входа: S (set - установка) - установка выхода Q в 1 и R (reset - сброс) - сброс выхода Q в 0. Для этого триггера является недопустимой одновременная подача команд установки и сброса (R = S = 1), поэтому состояние выхода в этом случае остается неопределенным и не описывается.

Таблица функционирования

Счетчик

Счетчик - элемент, осуществляющий подсчет импульсов, подаваемых на его вход. Двоичное число, представляемое состоянием его выходов, по фронту импульса на счетном входе увеличивается на единицу. Описываемое устройство представляет со­бой четырехразрядный счетчик с двумя входами синхронизации и четырьмя выхода­ми. Чтобы использовать счетчик по максимальной длине счета, генератор тактовых импульсов подключают к входу синхронизации CLKA и соединяют выход QA со вхо­дом синхронизации CLKB. Суммирование производится по отрицательному фронту импульса на счетном входе. Для сброса счетчика в 0 на входы R01 и R02 подают уро­вень логической единицы.

Таблица функционирования

Входы Выходы
N Счет D C B A

Сброс счетчика:

Входы Выходы
R01 R02 QD QC QB QA
Счет
Счет

Гибридные компоненты

ЦАП

Цифроаналоговый преобразователь (ЦАП) осуществляет преобразование цифрового сигнала в аналоговый. Описываемый ЦАП имеет 8 цифровых входов и 2 входа (I+I и I-I) для подачи опорного тока Iоп. ЦАП формирует на выходе ток Iвых, который пропорционален входному числу Nвх.

Выходной ток определяется по формуле:

I вых = (N вх /256)Iоп,

где Iоп – опорный ток, определяемый последовательно подключенными ко входу Uоп + или Uописточником напряжения Uоп и сопротивлением R:

I оп== (Uоп/ R)×255/256.

Второй выход является дополнением первого. Его ток определяется из выражения: I вых ’= Iоп - I вых.

Аналого-цифровой преобразователь (АЦП) производит преобразование аналогового напряжения в число. Представленный АЦП переводит аналоговые напряжения Uвх на входе в 8-разрядное двоичное число Nвых по формуле:

где – целая часть, Ufs = Uоп+- Uоп-- разница напряжений на опорных входах.

555 таймер

Таймер – элемент, имеющий цифровой вход и выход, характеризуется временем задержки Td. Изменение состояния на его выходе происходит через время, определяемое временем задержки Td.

555 таймер – интегральная схема, наиболее часто употребляемая как мультивибратор, одновибратор или управляемый напряжением генератор. Состояние выхода таймера изменяется через время, определяемое внешней времязадающей RC-цепью. Принципиально 555 таймер состоит из двух компараторов, делителя напряжения, триггера и разряжающего транзистора.

Одновибратор

Одновибратор вырабатывает импульс фиксированной длительности в ответ на управляющий перепад на его входе. Длина выходного импульса определяется внешней времязадающей RC- цепью.

Установка формы сигнала

Выберите требуемую форму выходного сигнала и нажмите на кнопку с соответствующим изображением. Форму треугольного и прямоугольного сигналов можно изменить, уменьшая или увеличивая значение в поле DUTY CYCLE (скважность). Этот параметр определяется для сигналов треугольной и прямоугольной формы. Для треугольной формы напряжения он задает длительность (в процентах от периода сигнала) между интервалом нарастания напряжения и интервалом спада. Установив, например, значение 20, мы получим длительность интервала нарастания 20 % от периода, а длительность интервала спада - 80 %. Для прямоугольной формы напряжения этот параметр задает соотношение, между длительностями положительной и отрицательной части периода.

Установка частоты сигнала

Частота генератора может регулироваться от 1 Гц до 999 МГц. Значение частоты устанавливается в строке FREQUENCY с помощью клавиатуры и кнопок со стрелками.

Моделирование схем

ELECTRONICS WORKBENCH позволяет моделировать аналоговые, цифровые и цифроаналоговые схемы различной степени сложности.

Исследуемая схема собирается на рабочем поле при одновременном использовании мыши и клавиатуры. При построении и редактировании схем выполняются следующие операции:

Выбор компонента из библиотеки компонентов;

Выделение объекта;

Перемещение объекта;

Копирование объекта;

Удаление объекта;

Соединение компонентов схемы проводниками;

Установка значений компонентов;

Подключение приборов.

После построения схемы и подключения приборов анализ ее работы начинается после нажатия выключателя.

Выключатель

Подключение приборов

В ELECTRONICS WORKBENCH имеется семь приборов, формирующих различные воздействия и анализирующих реакцию схемы. Эти приборы представлены в виде пиктограмм, расположенных на панели инструментов.

Для подключения прибора к схеме нужно мышью переместить прибор с панели инструментов на рабочее поле и подключить выводы прибора к исследуемым точкам. Некоторые приборы нужно заземлять, иначе их показания будут неверными.

Лабораторная работа № 1

Эксперимент 1.

Эксперимент 2.

Эксперимент 3.

Эксперимент 4.

Эксперимент 5.

Эксперимент 7.

Вопросы к защите

1. Перечислите все возможные типы источников ЭДС, имеющихся в программе Electronic Workbench. Каковы свойства и их условные обозначения?

2. Перечислите все возможные типы источников тока, имеющихся в программе Electronic Workbench. Каковы их свойства и условные обозначения?

3. Чему равно внутреннее сопротивление идеального источника тока и как его определить?

4. Чем отличаются неидеальные источники энергии от идеальных?

5. Как осуществить эквивалентное преобразование неидеального источника тока в неидеальный источник напряжения и обратное преобразование?

Список литературы:

1. Карлащук В. И. Электронная лаборатория на IBM PC. Программа Electronic Workbench и ее применение. М.: Солон-Р, 2000. С. 84-103, 134-156.

2. Касаткин А. С., Немцов М. В. Электротехника: учебник. М.: Высш. шк., 2000. С. 37-101.

3. Панфилов Д. И., Иванов В. С., Чепурин И. Н. Электротехника и электроника в экспериментах и упражнениях. Практикум на Electronic Workbench. М.: Изд-во «Додэка», 1999. Т 1. С. 69-86.


Лабораторная работа № 2

Эксперимент 1

1. Собрать схему (рис. 2) на экране.

4. Запишите показания амперметров в табл. 1.

Эксперимент 2

1. Собрать схему (рис. 3) на экране.

Эксперимент 3

1. Собрать схему (рис. 4) на экране.

2. Определить ток I1 методом свёртки.

3. Определить ток I2, используя выражение для делителя тока.

4. Запишите показания амперметров в табл.1.

5. Провести экспериментальную проверку результатов расчета.

Эксперимент 4

1. Собрать схему (рис. 5) на экране.

3. Запишите показания вольтметра в табл. 1.

4. Провести экспериментальную проверку результатов расчета.

Вопросы к защите

1. Укажите последовательность стадий расчета по методу эквивалентных преобразований.

2. Укажите признаки параллельного и последовательного соединений. Запишите расчетные соотношения для делителей тока и напряжения.

3. Выведите формулы обобщенного закона Ома для участка цепи, используя второй закон Кирхгофа.

4. Укажите правила составления уравнений по второму закону Кирхгофа.

Список литературы:

1. Карлащук В. И. Электронная лаборатория на IBM PC. Программа Electronic Workbench и ее применение. М.: Солон-Р, 2000. С. 134-144.

2. Касаткин А. С., Немцов М. В. Электротехника: учебник. М.: Высш. шк., 2000. С. 4-35.

3. Панфилов Д. И., Иванов В. С., Чепурин И. Н. Электротехника и электроника в экспериментах и упражнениях. Практикум на Electronic Workbench. М.: Изд-во «Додэка», 1999. Т1. С. 97-104.

Лабораторная работа № 3

Постоянного тока

Цель работы

Экспериментальная проверка I и II законов Кирхгофа. Замена активного двухполюсника эквивалентным генератором.

Домашнее задание

1. Определить необходимое и достаточное число уравнений для анализа электрической цепи методом уравнений Кирхгофа для одного из вариантов цепей, приведенных на рис. 1, 2 (по указанию преподавателя).

2. На основании п. 1 записать систему уравнений согласно законам Кирхгофа.

3. Записать формулы для определения параметров эквивалентного генератора Еэкв=Uabххи Rэ=Rэabэлектрической цепи, приведенной на рис. 1, 2 (по указанию преподавателя).

Схемы эксперимента

Цель работы: научиться пользоваться виртуальными измерительными приборами

Программы EWB для дальнейшего их использования в последующих лабораторных работах.

Описание контрольно-измерительных приборов в программе EWB .

Панель контрольно-измерительных приборов (Instruments) находится над полем рабочего окна программы EWB и содержит цифровой мультиметр, функциональный генератор, двухканальный осциллограф, измеритель амплитудно-частотных и фазочастотных характеристик:

Общий порядок работы с приборами такой: иконка прибора при помощи мыши переносится на рабочее поле и подключается проводниками к исследуемой схеме. Для приведения прибора в рабочее (развернутое) состояние необходимо дважды щелкнуть курсором по его иконке или вызвать его контекстное меню и выбрать пункт Open .

Осциллограф (Oscilloscope)

Описание осциллографа. Лицевая панель осциллографа.

Осциллограф имеет два канала ( Channel ) А и В с раздельной регулировкой смещения по вертикали (Yposition ). Выбор режима по входу осуществляется нажатием кнопок AC, 0, DC . Режим AC предназначен для наблюдения только сигналов переменного тока (режим “закрытого входа”, поскольку на входе усилителя осциллографа включается разделительный конденсатор). В режиме 0 входной зажим замыкается на землю. В режиме DC (по умолчанию) можно производить осциллографические измерения как постоянного, так и переменного тока (режим “открытого входа”, поскольку входной сигнал поступает на вход вертикального усилителя непосредственно).

Режим развертки выбирается кнопками Y/T, B/A, A/B . В режиме Y/T (обычный режим, включен по умолчанию) реализуется следующий режим развертки: по вертикали – напряжение сигнала, по горизонтали – время; в режиме B/A : по вертикали – сигнал канала В, по горизонтали – сигнал канала А в режиме A/B : по вертикали - сигнал канала А, по горизонтали – сигнал канала В.

В режиме развертки Y/T длительность развертки ( Timebase ) может быть задана в диапазоне от 0,1 нс/дел (ns/div ) до 1 с/дел ( s/div ) с возможностью установки смещения в тех же единицах по горизонтали, то есть по оси X ( X position ).

В режиме Y/T предусмотрен также ждущий режим Trigger с запуском развертки ( Edge ) по переднему или заднему фронту запускающего сигнала при регулируемом уровне (Level ) запуска, а также в режиме Auto , от канала А , от канала В или от внешнего источника (Ext ), подключаемого к зажиму в блоке управления (Trigger ). Названные режимы запуска развертки выбираются кнопками: AUTO, A, B, EXT.

Можно установить режим однократной развертки через системное меню Analysis , опция Analysis Options на закладке Instruments установить флаг “Pause after each screen ”. Для режима непрерывной развертки – выключить флаг “Pause after each screen ”. В программе EWB по умолчанию стоит режим непрерывной развертки.

Соединительным проводам можно задать цвет. Выделив нужный провод, щелкните правой кнопкой мыши и из появившегося контекстного меню выберите пункт Wire Properties (Свойство проводов), задайте цвет.

Заземление осциллографа осуществляется с помощью клеммы Ground в правом верхнем углу прибора.

При нажатии на кнопку Expand лицевая панель осциллографа существенно меняется:

Лицевая панель осциллографа в режиме EXPAND

Увеличивается размер экрана, появляется возможность прокрутки изображения по горизонтали и его сканирования с помощью вертикальных визирных линий (синего и красного цвета), которые за ушки можно установить в любое место экрана, при этом в индикаторных окошках под экраном приводятся результаты измерения напряжения, временных интервалов и их приращений (между визирными линиями).

Изображение можно инвертировать нажатием кнопки Reverse и записать данные в файл нажатием кнопки Save . Возврат к исходному состоянию осциллографа – нажатием кнопки Reduce.

1. Запустить программу EWB.

2. Из панели контрольно-измерительных приборов (Instruments ) выбрать осциллограф и разместить его на рабочее поле.

3. Установить режим однократной развертки - “Pause after each screen ”.

4. Подключить источник импульсов (библиотека компонентов Sources) с параметрами по умолчанию 50%,1 кГц, 5В.

4.1. Измерить амплитуду и период импульсов, вычислить скважность импульсов n=T/T ИМП.

Осциллограф использовать в режиме однократной развертки Y/T , синхронизация Auto , вход DC .

4.2. Измерить время нарастания и спада импульсов.

Результаты пунктов 4.1. и 4.2. занести в таблицу:

Амплитуда А, [В]

Период Т, [мс]

Скважность n

Время нарастания Т НАР. , [мкс]

Время спада Т СПАД, [мкс]

5. Собрать цепь, содержащую источник прямоугольных импульсов и интегрирующее RC звено. Ко входу звена подключить зеленым проводом канал А осциллографа, к выходу – канал В красным проводом.

5.1. Определить длительность импульса, период следования, зарисовать осциллограммы, определить нарастание выходного сигнала за время импульса. Полученные результаты занести в таблицу:

Период Т, [мс]

Длительность импульса Т ИМП, [мкс]

Нарастание вых. сигнала, [В]

6. Заменить источник прямоугольных импульсов на источник синусоидальных импульсов с параметрами 5В, 1 кГц.

6.1. Определить амплитуду входного и выходного сигналов, коэффициент передачи звена на выбранной частоте и фазовый сдвиг.

Амплитуда входного сигнала, [В]

Амплитуда выходного сигнала, [В]

Фазовый сдвиг j , [мкс]

Коэффициент передачи звена К

6.2. Перейти из режима синхронизации Auto в режим А , затем в режим В . Зарисовать и объяснить полученные осциллограммы.

6.3. Перейти в режим развертки осциллографа В/А . Зарисовать полученную картину и объяснить результат.

6.4. Входы осциллографа переключить в режим АС . Перейти в режим непрерывной развертки (выключить флажок «Pause after each screen »), Y/T , синхронизация Auto . Пронаблюдать за выходным сигналом в течение нескольких циклов развертки. Объяснить наблюдаемое явление. Почему осциллограмма входного сигнала не меняется, хотя оба входа осциллографа используются в одинаковом режиме АС?

6.5. Повторить пункт 6.1.-6.4., изменив частоту генератора с 1 кГц на 2 кГц.

7. Заменить интегрирующее звено цепью простейшего выпрямителя (использовать режим однократной развертки – « Pause after each screen »):

7.1. Зарисовать осциллограммы, определить максимальное напряжение на выходе во время положительной и отрицательной полуволны входного напряжения. Почему во время отрицательной полуволны на выходе имеется некоторое напряжение, хотя диод закрыт, а во время положительной полуволны выходное напряжение всегда меньше входного?

8.1. Таблицы результатов измерений п. 4.1., 4.2., 5.1., 6.1.

8.2. Осциллограммы п.6.2., 6.3., 6.4. и пояснение к ним.

8.3. Что изменилось в осциллограммах при повышении частоты входного сигнала с 1 кГц до 2 кГц?

8.4. Осциллограммы и ответ на вопросы п. 7.1.


Лабораторная работа №2

«Исследование полупроводниковых приборов»

Цель работы: экспериментальное изучение электрических свойств диодов и транзисторов и определение их характеристик

1. Задание: Исследовать параметры полупроводниковых диодов {модуль 1 глава 1.3} .

Порядок выполнения работы:

1.1. Запустите программу EWB 5.12.

1.2. Соберите схему для исследования параметров полупроводниковых диодов:

1.2.1. Из библиотеки компонентов источников питания Sources на поле поместите источник заданного напряжения и заземление – .

1.2.2. Из библиотеки пассивных элементов Basic на поле поместите резистор , подстроечный резистор и ключ .

1.2.3. Из библиотеки индикаторных устройств Indicators поместите амперметры и вольтметры .

1.2.4. Из библиотеки Diodes на поле поместить диод .

1.2.5. Соедините все компоненты по схеме. Установите необходимые параметры компонентов:

1.3. Снимите вольтамперные характеристики диода, меняя значение подстроечного резистора от 0% до 100% через интервал 20% Увеличение можно производить нажатием клавиши «R», уменьшение – «Shift+R». Шаг увеличения/уменьшения можно задать.

1.3.1. Исследуйте прямую ветвь диода. Для переключения ключа используйте клавишу Space (Пробел).

1.3.2. Исследуйте обратную ветвь диода.

1.3.3. Полученные данные занесите в таблицу (точность измерения – два знака после запятой):

Прямая ветвь

Обратная ветвь

1.4. Постройте график вольтамперной характеристики.

1.5. Измените температуру работы диода (для этого щелкните два раза на диоде и в появившемся окне «Diode Properties» выберите закладку «Analysis Setup» установите температуру равную 60° С) и повторите пункты 1.3. и 1.4.

2. Задание: Исследовать параметры стабилитрона {модуль 1 глава 1.4} .

2.1. Соберите схему для исследования параметров стабилитрона.

Схема аналогична схеме для исследования параметров полупроводникового диода. Из библиотеки Diodes на рабочее поле поместите стабилитрон:

2.2. Снимите вольтамперные характеристики стабилитрона, меняя значение подстроечного резистора от 0% до 100% через интервал 20%:

2.2.1. Исследуйте прямую ветвь стабилитрона. Для переключения ключа используйте клавишу Space (Пробел).

2.2.2. Исследуйте обратную ветвь стабилитрона.

2.2.3. Полученные данные занесите в таблицу (точность измерения – два знака после запятой):

Прямая ветвь

Обратная ветвь

2.3. Постройте график вольтамперной характеристики стабилитрона.

2.4. Измените температуру работы стабилитрона и повторите пункты 2.2. и 2.3.

3. Задание: Исследовать параметры транзистора {модуль 1 глава 1.5} .

3.1. Из библиотеки транзисторов Transistors поместите на поле p-n-p транзистор . Соберите схему для исследования параметров транзистора:

3.2. Снимите семейство входных и выходных характеристик биполярного транзистора, меняя значение подстроечного резистора от 0% до 100% через интервал 20%. Полученные данные занесите в таблицу (точность измерения два знака после запятой):

Uкб=12 В (R2=100%)

Uкб=7,2 В (R2=60%)

Uкб=2,4 В (R2=20%)

3.3. Построить графики входных и выходных характеристик транзистора:

I Э =f(U ЭБ) при U КБ =const

I К =f(U КБ) при I Э =const

3.4. По характеристикам транзистора определить его параметры h 11б и h 21б при Uкб=0 В и Iэ = 3,24 мА.

3.5. Изменить температуру работы транзистора и повторите пункты 3.2. – 3.4.

4.1. Таблицы результатов измерений п. 1.3. (для разных температур работы диода).

4.2. График ВАХ диода п. 1.4. (для разных температур работы диода).

4.3. Таблицы результатов измерений п. 2.2. (для разных температур работы стабилитрона);

4.4. График ВАХ стабилитрона п. 2.3. (для разных температур работы стабилитрона).

4.5. Таблицы результатов измерений п. 3.2. для разных температур работы транзистора.

4.6. Графики п. 3.3. для разных температур работы транзистора.

4.7. Решение задания п. 3.4.


Лабораторная работа №3

«Выпрямители и стабилизаторы»

Цель работы: изучить процессы, происходящие в схемах выпрямителей и полупроводниковых стабилизаторов {модуль 1 главы 3-4} .

Порядок выполнения работы:

1. Запустите программу EWB 5.0.

2. Соберите схему однополупериодного выпрямителя:

3. Подключите осциллограф к исследуемой схеме (к каналу А зеленым цветом – входную величину, к каналу В красным цветом – выходную величину).

3.1. Зарисуйте осциллограммы.

3.2. Меняя величину подстроечного резистора R от 100% до 0% (шаг изменения 20%) снимите и постройте внешнюю характеристику однополупериодного выпрямителя без фильтра U н =f(I н).

3.3. Подключите емкостный фильтр с помощью ключа.

3.4. Зарисуйте осциллограммы.

3.5. Меняя величину подстроечного резистора R от 100% до 0% (шаг 20%) снимите и постройте внешнюю характеристику однополупериодного выпрямителя с фильтром U н =f(I н).

4. Результаты измерений п. 3.2. и 3.5. занесите в таблицу (точность измерения – два знака после запятой):

Без фильтра

С фильтром

5. Соберите схему двухполупериодного выпрямителя:

5.1. Повторите пункт 3.

6. Результаты измерений занесите в таблицу (точность измерения – два знака после запятой):

Без фильтра

С фильтром

7. Соберите схему двухполупериодного выпрямителя с емкостным фильтром и параметрическим стабилизатором:

7.0 Исследуйте как изменяется напряжение на входе стабилизатора и на нагрузке при изменении тока в ней при включенном и выключенном стабилитроне (конденсатор фильтра включен).Объясните происходящее. Как изменяется ток стабилитрона при изменении тока нагрузки?

7.1. Результаты измерений тока и напряжения на нагрузке при включенном конденсаторе и стабилитроне занесите в таблицу (точность измерения – два знака после запятой):

Параметрический стабилизатор

I нагр, мА

7.2. Зарисуйте осциллограммы и постройте график внешней характеристики стабилизированного выпрямителя.

7.3. Отключите конденсатор фильтра и объясните форму напряжения на нагрузке.

8. Соберите схему двухполупериодного выпрямителя с емкостным фильтром и компенсационным стабилизатором:

8.1. Снимите нагрузочную характеристику стабилизатора при среднем положении отвода потенциометра R. Результаты измерений занесите в таблицу (точность измерения – два знака после запятой):

R НАГР, Ом

Компенсационный стабилизатор

I нагр, мА

При снятии характеристики обратите внимание также на показания вольтметров, измеряющих напряжение на входе стабилизатора и на стабилитроне, объясните результаты.

8.2 Постройте график внешней характеристики стабилизированного выпрямителя.

8.3. Изменяя положение ползунка потенциометра R определите, как это влияет на выходное напряжение стабилизатора. Объясните происходящее.

9.1. Таблицы результатов измерений п. 4.

9.2. Осциллограммы п. 3.1. и п. 3.4.

9.3. Графики внешних характеристик U н =f(I н) п.3.2 и 3.5.

9.4. Таблицы результатов измерений п. 6.

9.5. Осциллограммы и графики п. 5.1.

9.6. Таблицы результатов измерений п. 7.1.

9.7. Осциллограммы и графики п. 7.2.

9.8. Таблицы результатов измерений п. 8.1.

9.9. Графики п. 8.2.

9.10 Объяснение результатов п.7.0 , 7.3 и 8.3.

Примечание: все графики построить в одной и той же системе координат.


Лабораторная работа №4

«Усилители»

Цель работы: Изучить работу операционного усилителя в инвертирующем и неинвертирующем включении, научиться определять режимы работы элементов в сложных схемах усилителей {модуль 1 глава 2.4.2} .

1 Ознакомление с работой операционного усилителя

1.1 Соберите схему инвертирующего усилителя на ОУ с К=10.Для этого используйте модель ОУ с тремя выводами из группы ANA и резисторы в диапазоне 1-100 кОм. Эта модель работает без подключения напряжения питания, что упрощает схему. Ко входу подключите генератор переменного напряжения 1 В, частотой 1000 Гц и осциллограф ко входу и выходу усилителя. Установите режим однократной развертки.

1.1.1 Пронаблюдайте с помощью осциллографа инверсию выходного сигнала и определите реальный коэффициент усиления усилителя.

1.1.2 Постоянно увеличивая входное напряжение, определите при каком значении U вых начинается ограничение выходного сигнала.

1.2. Соберите схему неинвертирующего усилителя с К=10 и повторите п.п. 1.1.1, 1.1.2

2. Исследование схемы многокаскадного двухканального усилителя.

2.1. Выберите схему усилителя STEREOAMP из библиотеки программы EWB. Для программы EWB 5.0 путь: File-Open-Samples-STEREOAMP , для EWB 5.12:File - Open - Circuit - Stereoamp . В EWB 5.0 при открытии файла появляется меню Models Clash, в нем выберите Use circuits model.

2.1.1. С помощью мультиметра измерьте напряжения U бэ и U кэ для каждого транзистора. По результатам измерений определите, в какой области находятся рабочие точки транзисторов.

2.1.2. Определите коэффициенты усиления каналов стереоусилителя. Почему они разные? Какая причина возникновения нелинейных искажений в верхнем канале усилителя (канал А осциллографа)? В каком каскаде возникают искажения?

2 1.3. Уменьшите входное напряжение так, чтобы обе осциллограммы визуально казались неискаженными. После этого выровняйте усиление обоих каналов усилителя и измерьте коэффициент усиления.

Поскольку оба каскада теперь идентичны, то в дальнейшем исследуется только один из каскадов.

2.1.4. Получите АЧХ усилителя с помощью измерителя АЧХ-ФЧХ (BodePlotter). Определите нижнюю граничную частоту,на которой спад АЧХ составляет 6дБ. Что вызывает спад АЧХ в области нижних частот?

2.1.5. Переключите канал В осциллографа на вход усилителя. По осциллограммам сигналов определите приблизительно сдвиг фаз в градусах между выходным и входным напряжениями. Для нормальной работы осциллографа при выполнении этого пункта необходимо отключить клемму OUT измерителя АЧХ

2.1.6. Уточните величину сдвига фаз на частоте генератора входного сигнала с помощью измерителя ФЧХ.

2.1.7. Исследуйте влияние нагрузки, подключаемой к коллектору выходного транзистора, на величину выходного напряжения усилителя. Определите величину нагрузочного резистора, при которой выходное напряжение снижается на 20%.


Лабораторная работа №5

«Исследование комбинационных логических схем»

Цель работы: научиться реализовывать любые логические функции с помощью элементарных логических схем. Ознакомиться с построением генераторов импульсов, построением формирователей импульсов на основе логических интегральных схем {модуль 2 глава 1} .

1. Реализация простых логических функций. Задание:

1.1. На основании определения логических операций НЕ (инверсии), И (коньюнкции), ИЛИ (дизъюнкции) заполните табл.1.1.

Таблица 1.1.

Входные переменные

Значение выходных функций F

1.2. Нарисуйте принципиальные схемы для реализации функций , , , , на логических элементах типа И-НЕ.

Для функции соберите нарисованную схему и проверьте, что она выполняет логическую операцию ИЛИ для трех переменных А, В, С.

2. Минимизация сложных логических функций и их реализация.

2.1. Пример. Реализуем логическую функцию, представленную в табл. 1.2.

Таблица 1.2.

Остальным комбинациям А, В, С, не указанным в таблице, соответствует значение F=0. Табл. 1.2. соответствует логическое выражение .

По правилам алгебры логики проведем минимизацию функции F. Выносим общий множитель за скобки

Используя очевидное соотношение , можем в скобках еще раз повторить любой из членов. Добавим член . Тогда , но , поэтому (1).

Для реализации выражения (1) с помощью элементов И-НЕ необходимо исключить операцию дизъюнкции, выразив ее по формуле Де Моргана: .

Поэтому (2)

Выражение (2) реализуется в схеме (рис.1.2.).

Соберите схему (рис.1.2) и проверьте, что она реализует функцию, заданную в табл.1.2.

2.2. Задание:

Из табл.1.3 выберите логическую функцию для своего варианта, составьте соответствующее логическое выражение, минимизируйте его и приведите к виду, удобному для схемной реализации.

Из типовых элементов И-НЕ соберите схему и проверьте, что она реализует логическую функцию вашего варианта.

Таблица 1.3.

3. Синтез логических схем.

3.1. Задание:

3.1.1. Синтезируйте и реализуйте схему электронного замка, открываемого (F=1) комбинацией входных сигналов А 1 А 2 А 3 А 4 , определяющих номер вашего варианта. Например, для варианта 9 замок должен открываться комбинацией 1001.

3.1.2. Синтезируйте и реализуйте схему, моделирующую автомат для голосования на примере трех участников. Алгоритм голосования: решение принято (F=1), когда за него голосует не менее двух человек из трех.

3.1.3. Синтезируйте и реализуйте схему “исключающее ИЛИ” (2 варианта), пользуясь элементами 2И-НЕ схемы К155ЛА3. Первый вариант имеет более простую запись и реализуется на пяти элементах 2И-НЕ, второй более сложную запись, но требует для реализации только 4 элемента.

3.1.4. Синтезируйте и реализуйте схему одноразрядного компаратора, работающего по алгоритму:

F=0, если А 1 >А 2 и F=1, если А 1 =А 2

3.1.5. Синтезируйте и реализуйте схему коммутатора сигналов, работающую по алгоритму: F=В 1 , если А=1 и F=В 2 , если А=0.

Здесь А-коммутирующий сигнал, В 1 ,В 2 -коммутируемые сигналы.

3.1.6. С помощью логического преобразователя подтвердите результаты пп 3.1.4., 3.1.5 (задайте таблицу истинности, на ее основе получите минимизированное логическое выражение и схему устройства только на элементах И-НЕ).

4. Укоротитель импульсов.

4.1. Задание:

4.1.1. Соберите схему (рисунок 1). Зарисуйте осциллограммы в точках А, В, О, D при подаче на вход импульсов от внешнего генератора (соедините канал В осциллографа с выходом D, а к каналу А - поочередно подключите точки А, В, О исследуемой схемы).

Рисунок 1

5. Удлинитель импульсов (одновибратор).

5.1. Задание:

5.1.1. Соберите схему одновибратора (рисунок 2). Подайте на вход одновибратора импульсы от внешнего генератора. Зарисуйте осциллограммы напряжений в точках А, В, О, D.

Рисунок 2

Параметры функционального генератора:

Вид входных сигналов – прямоугольный;

Частота – 50 Гц;

Амплитуда входных сигналов – 10 В;

Скважность – 10%

6. Схема задержки импульсов.

6.1. Задание:

6.1.1. Синтезируйте схему, обеспечивающую выдачу положительных импульсов постоянной длительности, сдвинутых относительно коротких отрицательных импульсов на некоторое время t. Для этого воспользуйтесь схемами 1 и 2. Постройте диаграммы для характерных точек схемы.

6.1.2. Соберите синтезированную схему и пронаблюдайте ее работу.

7.1. Результаты выполнения п. 1.1, 1.2.

7.2. Исходное логическое выражение, его минимизация и схемная реализация по п. 2.2.

7.3. Аналогично для п. 3.1.1, 3.1.2, 3.1.3, 3.1.4, 3.1.5.

7.4. Пункт 3.1.6. продемонстрировать при сдаче отчета.

Параметры функционального генератора:

Вид входных сигналов – прямоугольный;

Частота – 50 Гц;

Амплитуда входных сигналов – 10 В

7.5. Схема рис. 1 и результаты п.п. 4.1.1.

7.6. Схема рис. 2 и результаты п.п. 5.1.1.

7.7. Синтезированная схема задержки и результаты п.п. 6.1.1.


Лабораторная работа №6

«Триггеры»

Цель работы: изучить структуру триггеров различных типов и алгоритмы их работы {модуль 2 глава 6} .

1. Триггеры на логических элементах.

1.1. Асинхронный R-S триггер с инверсными входами.

Таблица 1

1.2. Тактируемый (синхронный) R-S триггер

Соберите схему триггера на логических элементах 2И-НЕ и проверьте таблицу его состояний.

Таблица 2

1.3. D-триггер

1.4. Задача: для R-S триггера с инверсными входами даны переменные входные параметры X и Y, изменение которых во времени показано на рис.1. По номеру своего варианта выбрать вид входных сигналов из таблицы:

№ варианта

Таблица 4

№ варианта

Например, в первом шаге R=0, S=1, следовательно в двоичном коде: 0000.0000.0000.0001 или в шестнадцатеричном 0001 – первый код генератора слова. Во втором шаге R=1, S=0: 0000.0000.0000.0010 2 = 0002 16 – второй код генератора слова и т.д.

Получите с помощью логического анализатора временные диаграммы для R, S, Q, . Зарисуйте их.

2. Интегральные триггеры.

2.1. D-триггер 74175 (триггер-защелка).

Выберите из библиотеки Digital интегральную схему D-триггера 74175 (Quad D-type FF (clr)) . Данная интегральная схема содержит четыре двухступенчатых Д-триггера. На выходы 1Q, 2Q, 3Q и 4Q поступает информация с входов 1D, 2D, 3D, и 4D при значении стробсигнала CLK=1 информация “защелкивается”. Сигнал CLR’=0 сбрасывает триггер в исходное состояние. Питание микросхемы: 8 (GND) – общий провод, 16 (VCC)- U пит.

2.1.1. Задание: Исследуйте поведение триггера, воспользовавшись одним из входов D i и соответствующим выходом Q i . В какой момент происходит защелкивание информации?

Нарисуйте схему подключения ИС 74175 для записи на выходах ее кода Q 4 Q 3 Q 2 Q 1 =0011. Соберите схему и зафиксируйте на выходах заданный код.

2.2. JK-триггер 7472.

Выберите из библиотеки Digital интегральную схему JK-триггера 7472 (AND-gated JK MS-SLV FF (pre, clr)). Данная интегральная схема содержит: входы - J1, J2, J3, К1, К2, К3; выходы – Q и Q’, а также стробсигнал CLK, сброс – CLR’ и вход предустановки PRE’. Питание микросхемы: 7 – общий провод, 14 - U пит.

2.2.1. Ознакомьтесь с работой JK-триггера. Запишите таблицу состояний триггера. Какие строчки таблицы соответствуют работе JK-триггера в качестве RS-триггера и Т-триггера?

2.2.2. Задание: Соберите схему включения триггера 7472. На выходы подключите светодиоды. Проверьте все варианты таблицы состояний. Соберите схему D-триггера на JK-триггере и проверьте ее работу. В качестве инвертора воспользуйтесь соответствующим логическим элементом.

3.1. Результаты п. 1.1, 1.2., 1.3., 1.4.

3.2. Схема и результаты п. 2.1.1.

3.3. Таблица п. 2.2.1 и пояснение к ней


Лабораторная работа №7

«Мультиплексоры, дешифраторы, сумматоры»

Цель работы: изучить алгоритмы работы этих схем {модуль 2 глава 5} .

1. Мультиплексор 74151.

Выберите из библиотеки Digital (библиотека MUX ) интегральную схему мультиплексора MUX 74151 . Данная интегральная схема содержит: восемь входов - D 0 …D 7 ; адресные входы А, В и С (С является старшим битом адреса); прямой выход – Y и инверсный выход – W. Питание микросхемы: 8 (GND) – общий провод, 16 (VCC) – +5 В. Примечание: выход G’ не использовать.

1.1. Задание:

1.1.1. Соберите схему включения мультиплексора. На выходы Y и W подключите светодиоды. Поочередно на один из входов D 0 , D 1 , .., D 7 подайте сигнал и проверьте работу мультиплексора. Результаты занесите в таблицу:

1.1.2. Воспользуйтесь мультиплексором как универсальным логическим элементом и на основе его постройте схему:

а) выполняющую операцию дизъюнкции трех переменных (у=А+В+С). Соберите схему и проверьте ее работу;

б) выполняющую операцию конъюнкции с отрицанием (). Соберите схему и проверьте ее работу. С какого выхода нужно снимать сигнал?

2. Дешифратор 74155.

Выберите из библиотеки Digital (библиотека DEC ) интегральную схему дешифратора 74155 . ИС 74155 –представляет собой сдвоенный дешифратор 2-4. Данная интегральная схема содержит: входы – А, В, 1С и 2С’ (входу А соответствуют младшие биты сигнала); инверсные выходы 1Y 0 , 1Y 1 , 1Y 2 , 1Y 3 и 2Y 0 , 2Y 1 , 2Y 2 , 1Y 3 . Сигналы 1G’ и 1С открывают выходы 1Y i , а сигналы 2G’ и 2С’ – выходы 2Y i . Питание микросхемы: 8 (GND) – общий провод, 16 (VCC) – +5 В.

2.1. Ознакомьтесь с работой дешифратора.

2.2. Задание:

2.2.1. Перепишите таблицу состояний сдвоенного дешифратора 2-4 и проверьте ее, собрав схему.

2.2.2. На основе дешифратора 2-4 постройте схему дешифратора 3-8. Составьте таблицу состояний и проверьте ее на собранной схеме.

Таблица состояний дешифратора 74155.

2. Сумматор 4008 .

Выберите из библиотеки Digital ICs (серия 4ххх) интегральную схему сумматора 4008 . ИС представляет собой четырехразрядный сумматор кодов А 0 …А 3 и В 0 …В 3 . Выходы S 0 , S 1 , S 2 и S 3 . Сигналы СOUT и CIN подключить к общему проводу (земле). Питание микросхемы: 8 (VSS) – общий провод, 16 (VDD) – +5 В.

3.1. Задание:

3.1.1. Соберите схему сумматора, подав на входы А 0 , А 1 и В 0 , В 1 коды слагаемых (А 1 А 0 + В 1 В 0), остальные входы соедините на общий провод. К выходам S 0 , S 1 , S 2 подключите светодиоды.

3.1.2. Выполните сложение кодов (А 1 А 0 + В 1 В 0) и проверьте результат, используя сумматор:

10+01= ; 11+01= ; 01+01= ; 01+11=

3.1.3. Соберите схему полусумматора, построенную из элементарных логических элементов, и проверьте его работу.

4.1. Таблица состояний и схема включения п. 1.1.1.

4.2. Схемы п. 1.1.2.

4.3. Таблица и схема п. 2.2.1.

4.4. Результаты п. 2.2.2.

4.5. Схема п. 3.1.1

1. Счетчик 74190.

1.1. Выберите из библиотеки Digital (библиотека Counter ) интегральную схему счетчика 74190 (Sync BCD Up/Down Counter).

Схема представляет собой двоично-десятичный четырехразрядный реверсивный счетчик с предварительной установкой. Питание микросхемы: 8 (GND) - общий провод, 16 (VCC) - U пит. Счетчик содержит: выходы Q A , Q B , Q C и Q D . Вход U/D’ используется для прямого и обратного счета («0» прямой счет, «1» - обратный счет). На входе МAX/MIN появляется «1» после достижения кода 9 или 0 при прямом и обратном счете соответственно. Вход RCO’ является инверсным входу МAX/MIN (в схеме вход RCO’ не использовать). А, В, С и D - входы предустановки, на которые подаются «0» или «1» для задания соответствующего кода. Вход LOAD’ используется для установления на выходах Qi значений входов ABCD (LOAD’= 0). При LOAD’=1 происходит счет от установленного кода. Вход CTEN’ используется для остановки счетчика во время счета (CTEN’ = 0 - счет, CTEN’ = 1 - остановка). Работа интегральной схемы счетчика осуществляется по переднему фронту перепада 0-1 на входе CLK.

1.2. Задание:

1.2.1. Соберите схему счетчика. На вход CLK от генератора слова задайте последовательность импульсов 1-0. На выходы Qi и MAX/MIN подключите светодиоды. Проверьте процесс счета, реверсирования. В каких случаях возникает сигнал MAX/MIN?

1.2.2. Задав необходимый код на входы предустановки А и В, реализуйте счетчик, считывающий 6 импульсов до заполнения. Проверьте его работу.

1.2.3. Синтезируйте схему счетчика, считывающего от 0 до 5, используя ИС 74190 и необходимый логический элемент.

Четырехразрядный универсальный сдвиговый регистр 74194.

2.1. Выберите из библиотеки Digital (библиотека > Shift Regs)интегральную схему сдвигового регистра 74194 (4 - bit Bidrectional).

Питание микросхемы: 8 (GND) - общий провод, 16 (VCC) - U пит. Регистр имеет последовательные входы данных SR и SL; четыре параллельных входа A, B, C и D; четыре выхода Q А, Q В, Q С и Q D . Данные на выходах появляются при перепаде с 1 на 0 тактового импульса на входе CLK. Вход CLR’ - сброс схемы в ноль. Для записи параллельного кода устанавливают S1=S0=1. Сигнал S1=0 осуществляет сдвиг влево, а S0=0 осуществляют сдвиг вправо. Для записи последовательного кода используют один из двух входов: SR или SL (SR - сдвиг кода вправо, SL - сдвиг кода влево). При записи данных через вход SR устанавливают S1=0, S0=1, а при значении S1=1, S0=0 происходит сдвиг вправо. При записи данных через вход SL установление сигналов S1, S0 противоположно, а сдвиг записанного кода будет влево.

2.2. Задание:

2.2.1. Занесите в регистр параллельный код 1111, на вход SR подайте «0». Перейдите в режим сдвига влево и пронаблюдайте, как при сдвиге единицы постепенно заменяются нулями.

2.2.2. Занесите в регистр параллельный 1010, на вход SR подайте «1», перейдите в режим сдвига вправо. Какой будет результат?

2.2.3. Занесите в регистр последовательный код 0100 через вход SR, осуществите сдвиг кода.

2.2.4. Повторите п. 2.2.3., используя вход SL.

2.3. Соберите и проверьте схему преобразователя 8 разрядного параллельного кода в последовательный с побайтовым преобразованием (использовать схемы: регистр 74194, счетчик 74160 и другие необходимые логические ИС).

Примечание:

На входы LOAD, ENT, ENP счетчика 74160 подайте «1». На параллельные входы регистра 74194 подайте код от генератора слова: 00AA 16 =0000.0000.1010.101 2 , затем 00DB 16 =0000.0000.1101.1011 2 , затем 0088 16 =0000.0000.1000.1000 2 и пронаблюдайте передачу кода. На вход S0 и СLR’ подайте «1», вход S1 является переключением с записи кода на его сдвиг.

На вход CLK регистра 74194 и счетчика 74160 подайте последовательность прямоугольных импульсов от функционального генератора (Function Generator).

3.1. Схемные обозначения счетчика 74190, регистра 74194 и описание их работы.

3.2. Схема преобразователя п. 2.3.

Возможности

Electronics Workbench Multisim v8.2.12.SP1 -электронная лаборатория на компьютере у Вас под рукой!

Система схемотехнического моделирования Electronics Workbench Multisim v8.2.12 предназначена для моделирования и анализа электрических схем.

Программа Electronics Workbench позволяет моделировать аналоговые, цифровые и цифро-аналоговые схемы большой степени сложности. Имеющиеся в программе библиотеки включают в себя большой набор широко распространенных электронных компонентов. Есть возможность подключения и создания новых библиотек компонентов. Параметры компонентов можно изменять в широком диапазоне значений.

Простые компоненты описываются набором параметров, значения которых можно изменять непосредственно с клавиатуры, активные элементы - моделью, представляющей собой совокупность параметров и описывающей конкретный элемент или его идеальное представление. Модель выбирается из списка библиотек компонентов, параметры модели также могут быть изменены пользователем.

Широкий набор приборов позволяет производить измерения различных величин, задавать входные воздействия, строить графики. Все приборы изображаются в виде, максимально приближенном к реальному, поэтому работать с ними просто и удобно. Результаты моделирования можно вывести на принтер или импортировать в текстовый или графический редактор для их дальнейшей обработки. Программа Electronics Workbench Multisim v8.2.12 совместима с программой P-SPICE, то есть предоставляет возможность экспорта и импорта схем и результатов измерений в различные её версии.


Основные достоинства программы Electronics Workbench Multisim

Экономия времени Работа в реальной лаборатории требует больших временных затрат на подготовку эксперимента. Теперь, с появлением , электронная лаборатория всегда будет под рукой, что позволяет сделать изучение электрических схем более доступным. Достоверность измерений. В природе не существует двух совершенно одинаковых элементов, то есть все реальные элементы имеют большой разброс значений, что приводит к погрешностям в ходе проведения эксперимента. В Electronics Workbench все элементы описываются строго установленными параметрам, поэтому каждый раз в ходе эксперимента будет повторяться результат, определяемый только параметрами элементов и алгоритмом расчета.

Удобство проведения измерений Учеба невозможна без ошибок, а ошибки в реальной лаборатории порой очень дорого обходятся экспериментатору. Работая с Electronics Workbench Multisim , экспериментатор застрахован от случайного поражения током, а приборы не выйдут из строя из-за неправильно собранной схемы. Благодаря этой программе в распоряжении пользователя имеется такой широкий набор приборов, который вряд ли будет доступен в реальной жизни.

Таким образом, у Вас всегда имеется уникальная возможность для планирования и проведения широкого спектра исследований электронных схем при минимальных затратах времени. Графические возможности Сложные схемы занимают достаточно много места, изображение при этом стараются сделать более плотным, что часто приводит к ошибкам в подключении проводников к элементам цепи. Electronics Workbench позволяет разместить схему таким образом, чтобы были чётко видны все соединения элементов и одновременно вся схема целиком. Возможность изменения цвета проводников позволяет сделать схему более удобной для восприятия.

Можно отображать различными цветами и графики, что очень удобно при одновременном исследовании нескольких зависимостей. Стандартный интерфейс Windows Программа Electronics Workbench использует стандартный интерфейс Windows, что значительно облегчает её использование. Интуитивность и простота интерфейса делают программу доступной любому, кто знаком с основами использования Windows. Совместимость с программой Р-SPICE Программа Electronics Workbench базируется на стандартных элементах программы SPICE. Это позволяет экспортировать различные модели элементов и проводить обработку результатов, используя дополнительные возможности различных версий программы P-SPICE.

Для установки программы необходимы

  • IBM-совместимый компьютер с модификацией процессора не ниже 486;
  • не менее 400 MB свободного пространства на жёстком диске;
  • операционная система Microsoft Windows 3. 1 или более поздние версии;
  • манипулятор типа мышь.

Компоненты и проведение экспериментов

В библиотеки компонентов программы входят пассивные элементы, транзисторы, управляемые источники, управляемые ключи, гибридные элементы, индикаторы, логические элементы, триггерные устройства, цифровые и аналоговые элементы, специальные комбинационные и последовательные схемы. Активные элементы могут быть представлены моделями как идеальных, так и реальных элементов. Возможно также создание своих моделей элементов и добавление их в библиотеки элементов. В программе используется большой набор приборов для проведения измерений: амперметр, вольтметр, осциллограф, мультиметр, Боде-плоттер (графопостроитель частотных характеристик схем), функциональный генератор, генератор слов, логический анализатор и логический преобразователь.

Анализ схем Electronics Workbench может проводить анализ схем на постоянном и переменном токах. При анализе на постоянном токе определяется рабочая точка схемы в установившемся режиме работы. Результаты этого анализа не отражаются на приборах, они используются для дальнейшего анализа схемы. Анализ на переменном токе использует результаты анализа на постоянном токе для получения линеаризованных моделей нелинейных компонентов. Анализ схем в режиме АС может проводиться как во временной, так и в частотной областях. Программа также позволяет производить анализ цифро-аналоговых и цифровых схем. В Electronics Workbench можно исследовать переходные процессы при воздействии на схемы входных сигналов различной формы.

Операции, выполняемые при анализе: Electronics Workbench Multisim позволяет строить схемы различной степени сложности при помощи следующих операций:

  • выбор элементов и приборов из библиотек,
  • перемещение элементов и схем в любое место рабочего поля,
  • поворот элементов и групп элементов на углы, кратные 90 градусам,
  • копирование, вставка или удаление элементов, групп элементов, фрагментов схем и целых схем,
  • изменение цвета проводников, выделение цветом контуров схем для более удобного восприятия,
  • одновременное подключение нескольких измерительных приборов и наблюдение их показаний на экране монитора,
  • присваивание элементу условного обозначения,
  • изменение параметров элементов в широком диапазоне.

Все операции производятся при помощи мыши и клавиатуры.
Управление только с клавиатуры невозможно.
Путем настройки приборов можно:

  • изменять шкалы приборов в зависимости от диапазона измерений,
  • задавать режим работы прибора,
  • задавать вид входных воздействий на схему (постоянные и гармонические токи и напряжения, треугольные и прямоугольные импульсы).

Графические возможности программы позволяют:

  • одновременно наблюдать несколько кривых на графике,
  • отображать кривые на графиках различными цветами,
  • измерять координаты точек на графике,
  • импортировать данные в графический редактор, что позволяет произвести необходимые преобразования рисунка и вывод его на принтер. Electronics Workbench позволяет использовать результаты, полученные в программах P-SPICE, РСВ, а также передавать результаты из Electronics Workbench в эти программы. Можно вставить схему или её фрагмент в текстовый редактор и напечатать в нем пояснения или замечания по работе схемы.

Справочное руководство к программе 16 МБ
При возникновении проблем с открытием файла необходимо сменить расширение на на.chm :
Справка к Electronics Workbench Multisim v8.2.12.SP1 скачать бесплатно.

Спасибо и шоколадки не пью:))

Система моделирования Electronics Workbench

История создания программы Electronics Workbench (EWB ) начинается с 1989 г. Ранние версии программы состояли из двух независимых частей. С помонщью одной половины программы можно было моделировать аналоговые устройства, с помощью другой — цифровые. Такое "раздвоенное" состояние создавало опреденленные неудобства, особенно при моделировании смешанных аналого-цифровых уснтройств. В 1996 г. в версии 4.1 эти части были объединены и через полгода выпущена пятая версия программы. Она дополнена средствами анализа примерно в объеме программы Micro - Cap V , переработана и несколько расширена библиотенка компонентов. Средства анализа цепей выполнены в типичном для всей програмнмы ключе — минимум усилий со стороны пользователя. Дальнейшим развитием EWB является программа EWB Layout , предназначенная для разработки печатных плат ; она кратко рассмотрена в гл. 15. Программа EWB обладает преемственнонстью снизу вверх, т.е. все схемы, созданные в версиях 3.0 и 4.1, могут быть промоденлированы в версии 5.0. Следует отметить, что EWB позволяет также моделировать устройства, для которых задание на моделирование подготовлено в текстовом форнмате SPICE , обеспечивая совместимость с программами Micro - Cap и PSpice .

Программа EWB 4.1 рассчитана для работы в среде Windows З.хх или 95/98 и занимает около 5 Мбайт дисковой памяти, EWB 5.0 — в среде Windows 95/98 и NT 3.51, требуемый объем дисковой памяти — около 16 Мбайт. Для размещения вренменных файлов требуется дополнительно 10 — 20 Мбайт свободного пространства.

Структура окна и система меню

Рассмотрим команды меню программы EWB 4.1 в порядке их следования на рис.

Меню File

Меню File предназначено для загрузки и записи файлов, получения твердой копии выбранных для печати составных частей схемы, а также для импорта/экспорнта файлов в форматах других систем моделирования и программ разработки печатнных плат.

1.аа Первые четыре команды этого меню: New (Ctrl + N ), Open ... (Ctrl + O ), Save (Ctrl + S ), Save As ... — типичные для Windows команды работы с файлами и понэтому пояснений не требуют. Для этих команд в пятой версии имеются кнопки (иконки) со стандартным изображением. Схемные файлы программы EWB именют следующие расширения: . ewb — аналого-цифнровые схемы для EWB 5. O .

2.аа Revent to Saved ... — стирание всех изменений, внесенных в текущем сеансе рендактирования, и восстановление схемы в первоначальном виде.

3.а Import / Export – дает возможность обмена данными с программой разработки печатных плат EWB Layout .

4.аа Print ... (CTRL + P ) — выбор данных для вывода на принтер:

Schematic — схемы (опция включена по умолчанию);

Description — описания к схеме;

Part list — перечня выводимых на принтер документов;

Label list — списка обозначений элементов схемы;

Model list — списка имеющихся в схеме компонентов;

Subcircuits — подсхем (частей схемы, являющихся законченными аааафункциональнынми узлами и обозначаемых прямоугольниками с названием внутри);

Analysis options — перечня режимов моделирования;

Instruments — списка приборов;

В этом же подменю можно выбрать опции печати (кнопка Setup ) и отправить материал на принтер (кнопка Print ). В программе EWB 5.0 предусмотрена также возможность изменения масштаба выводимых на принтер данных в пределах от 20 до 500%.

5.аа Print Setup ... — настройка принтера.

6.аа Exit (ALT + F 4) — выход из программы.

7.аа Install ... — установка дополнительных программ с гибких дисков.

8.а Import from SPICE — импорт текстовых файлов описания схемы и задания на моделирование в формате SPICE (с расширением. cir ) и автоматическое построенние схемы по ее текстовому описанию.

9.аа Export to SPICE — составление текстового описания схемы и задания на моделинрование в формате SPICE .

10. Export to PCB — составление списков соединений схемы в формате OrCAD и друнгих программ разработки печатных плат.

Меню Edit

Меню Edit позволяет выполнять команды редактирования схем и копированния экрана.

1.ааа Cut (CTRL + X ) — стирание (вырезание) выделенной части схемы с сохранением ее в буфере обмена (Clipboard ). Выделение одного компонента производится щелчком мыши на изображении компонента. Для выделения части схемы или нескольких компонентов необходимо поставить курсор мыши в левый угол вообнражаемого прямоугольника, охватывающего выделяемую часть, нажать левую кнопку мыши и, не отпуская ее, протянуть курсор по диагонали этого прямонугольника, контуры которого появляются уже в начале движения мыши, и зантем отпустить кнопку. Выделенные компоненты окрашиваются в красный цвет.

2.ааа Copy (CTRL + C ) — копирование выделенной части схемы в буфер обмена.

3.а Paste (CTRL + V ) — вставка содержимого буфера обмена на рабочее поле програмнмы. Поскольку в EWB нет возможности помещать импортируемое изображение схемы или ее фрагмента в точно указанное место, то непосредственно после вставнки, когда изображение еще является отмеченным (выделено красным) и может оказаться наложенным на создаваемую схему, его можно переместить в нужное место клавишами курсора или мышью. Таким же образом перемещаются и преднварительно выделенные фрагменты уже имеющейся на рабочем поле схемы.

4.ааа Delete (Del ) — стирание выделенной части схемы.

5.ааа Select All (CTRL + A ) — выделение всей схемы.

6.а Copy as Bitmap (CTRL + I ) — команда превращает курсор мыши в крестик, которым по правилу прямоугольника можно выделить нужную часть экрана, после отпусканния левой кнопки мыши выделенная часть копируется в буфер обмена, после ченго его содержимое может быть импортировано в любое приложение Windows . Копирование всего экрана производится нажатием клавиши Print Screen : копинрование активной в данный момент части экрана, например, диалогового окна — комбинацией Alt + Print Screen . Команда очень удобна при подготовке отчетов по моделированию, например, при оформлении лабораторных работ.

7.ааа Show Clipboard — показать содержимое буфера обмена.

Меню Circuit

Меню Circuit используется при подготовке схем, а также для задания параментров моделирования.

1. Rotate (CTRL + R ) — вращение выделенного компонента; большинство компоннентов поворачиваются против часовой стрелки на 90░ при кажндом выполнении команды, для измерительных приборов (амперметр, вольтметр и др.) меняются местами клеммы подключения. Команда чаще всего используетнся при подготовке схем. В готовой схеме пользоваться командой нецелесообразнно, поскольку это чаще всего приводит к путанице — в таком случае компонент нужно сначала отключить от подсоединенных цепей, а затем вращать.

2.а Flip Horizontal – зеркальное отображение элемента по горизонтали.

3.а Flip Vertical –а зеркальное отображение элемента по вертикали.

4.а Component Properties – дает возможность установить свойства элементов схемы.

a ) Label — ввод позиционного обозначения выделенного компонента (например, R 1 — для резистора, С5 — для конденсатора и т.д.).

b )а Value – В диалоговом окне команды при выборе закладки Value задаютнся номинальное сопротивление компонента (резистора), значение линейного (ТС1) и квадратичного (ТС2) температурных коэффициентов сопротивления.

c ) Fault – аимитация неисправности выделенного компонента путем ввендения:

Leakage — сопротивления утечки;

Short — короткого замыкания;

Open — обрыва;

None — неисправность отсутствует (включено по умолчанию).


d )а Display а С его понмощью задается характер вывода на экран обозначений компонента.


e )а Analysis Setup – позволяет установить температуру для каждого элемента индивидуально или использовать ее номинальное значение, принятое для всей схемы.


а

Для активных компонентов меню команды Component Properties содержит подменю Models с помощью которого выбирается тип библиотечного компонента, редактируются его параметры, создается новая библиотека и выполнянются другие команды.

5.а Create Subcircuit ... (CTRL + B ) — преобразование предварительно выделенной части схенмы в подсхему. Выделяемая часть схемы должна быть расположена таким обранзом, чтобы в выделенную область не попали не относящиеся к ней проводники и компоненты. В результате выполнения команды открывается диалоговое окно (рис. ниже), в строке Name которого вводится имя подсхемы, после чего возможны следующие варианты:

Copy from Circuit — подсхема копируется с указанным названием в библиотеку Custom без внесения изменений в исходную схему;

Move from Circuit — выделенная часть вырезается из общей схемы и в виде подсхенмы с присвоенным ей именем копируется в библиотеку Custom ;

Replace in Circuit — выделенная часть заменяется в исходной схеме подсхемой с присвоенным ей именем с одновременным копированием ее в библиотеку Custom .

Для просмотра или редактирования подсхемы нужно дважды щелкнуть мыншью по ее значку. Редактирование подсхемы производится по общим правилам рендактирования схем. При создании дополнительного вывода подсхемы необходимо из соответствующей точки подсхемы курсором мыши протянуть проводник к краю окна подсхемы до появления не закрашенной прямоугольной контактной площаднки, после чего отпустить левую кнопку мыши. Для удаления вывода необходимо курсором мыши ухватиться за его прямоугольную площадку у края окна подсхемы и вынести ее за пределы окна.

6.а Zoom in / Zoom out – увеличение/уменьшение схемы

7.а Schematic options настройки схемы.

С помощью этого пункта этого меню можно установить сетку на схеме, скрыть или отобразить различную информацию, установить шрифты и т. п.

Меню Analysis

1.а Activate (CTRL + G ) — запуск моделирования.

2.а Stop (CTRL + T ) — остановка моделирования. Эта и предыдущая команды могут быть выполнены также нажатием кнопки расположенной в правом верхннем углу экрана.

3.а Pause (F 9) — прерывание моделирования.

4. Analysis Options ... (CTRL + Y ) — набор команд для установки параметров моделинрования. См. рисунок ниже.

Global — настройки общего характера, задаются с помощью диалогового окна, в котором параметры имеют следующее назначение:

ABSTOL — абсолютная ошибка расчета токов;

GMIN – минимальная проводимость ветви цепи (проводимость ветви, меньшая GMIN , считается равной нулю);

PIVREL , PIVTOL — относительная и абсолютная величины элемента строки матринцы узловых проводимостей (например, при расчете по методу узловых потенцианлов), необходимые для его выделения в качестве ведущего элемента; RELTOL — допустимая относительная ошибка расчета напряжений и токов; TEMP — температура, при которой проводится моделирование;

VNTOL — допустимая ошибка расчета напряжений в режиме Transient (анализ пенреходных процессов);

CHGTOL — допустимая ошибка расчета зарядов;

RAMPTIME — начальная точка отсчета времени при анализе переходных процессов;

CONVSTEP — относительный размер шага итерации при расчете режима по постонянному току;

CONVABSSTEP — абсолютный размер шага итерации при расчете режима по постонянному току;

CONVLIMIT — включение или выключение дополнительных средств для обеспеченния сходимости итерационного процесса;

RSHUNT — допустимое сопротивление утечки для всех узлов относительно общей

шины (заземления).

Temporary ... — объем дисковой памяти для хранения временных файлов (в Мбайт).

DC — настройка для расчета режима по постоянному току (статический режим). Для настройки этого режима используется диалоговое окно, панраметры которого имеют следующее назначение:

ITL 1 — максимальное количество итераций приближенных расчетов;

GMINSTEPS — размер приращения проводимости в процентах от GMIN (использунется при слабой сходимости итерационного процесса);

SRCSTEPS — размер приращения напряжения питания в процентах от его номиннального значения при вариации напряжения питания (используется при слабой сходимости итерационного процесса).

Кнопка Reset Defaults предназначена для установки по умолчанию параметнров;

Transient — настройка параметров режима анализа переходных процеснсов:

ITL 4 — максимальное количество итераций за время анализа переходных процессов;

MAXORD — максимальный порядок (от 2 до 6) метода интегрирования дифференцинального уравнения;

TRTOL — допуск на погрешность вычисления переменной;

METHOD — метод приближенного интегрирования дифференциального уравнения: TRAPEZOIDAL — метод трапеций, GEAR — метод Гира;

АССТ — разрешение на вывод статистических сообщений о процессе моделирования.

Device — выбор параметров МОП-транзисторов:

DEFAD — площадь диффузионной области стока, м 2 ;

DEFAS — площадь диффузионной области истока, м 2 ;

DEFL — длина канала полевого транзистора, м;

DEFW — ширина канала, м;

TNOM — номинальная температура компонента;

BYPASS — включение или выключение нелинейной части модели компонента; TRYTOCOMPACT – включение или выключение линейной части модели компонента.

Instruments — настройка параметров контрольно-измерительных прибонров:

Pause after each screen — пауза (временная остановка моделирования) после заполннения экрана осциллографа по горизонтали (Oscilloscope );

— автоматическая установка временного шага (иннтервала) вывода информации на экран;

Minimum number of time points — минимальное количество отображаемых точек за период наблюдения (регистрации);

ТМАХ — промежуток времени от начала до конца моделирования;

Set to Zero — установка в нулевое (исходное) состояние контрольно-измерительных приборов перед началом моделирования;

User - defined -- управление процессом моделирования проводится пользователем (ручной пуск и остановка);

Calculate DC operating point — выполнение расчета режима по постоянному току;

Points per cycle — количество отображаемых точек при выводе амплитудно-частотнных и фазо-частотных характеристик (Bode plotter );

use engineering notation — использование инженерной системы обозначений единиц измерения (например, напряжения будут выводиться в милливольтах (мВ), микронвольтах (мкВ), нановольтах (нВ) и т.д.).

DC Operating Point —расчет режима по постоянному току. Из опыта работы с друнгими программами моделирования следует, что в режиме DC из моделируемой схемы исключаются все конденсаторы и закорачиваются все индуктивности.

AC Frequency ... — расчет частотных характеристик. Выполнение команды начиннается с задания в диалоговом окне (рис. ниже) следующих параметров:

FSTART , FSTOP — границы частотного диапазона (минимальное и максимальное значение частоты соответственно);

Sweep type — масштаба по горизонтали: декадный (Decade ), линейный (Linear ) и ок-тавный (Octave );

Number of points — числа точек ;

Vertical scale — масштаба по вертикали : линейный (Linear), логарифмический (Log) и в децибелах (Decibel);

Nodes in circuit — списка всех узлов цепи;

Nodes for analysis — номеров узлов, для которых рассчитываются характеристики схемы, перечень таких узлов устанавливается нажатием кнопок Add -> (добавить) и <- Remove (удалить).

Simulate — кнопка запуска моделирования.

Transient ... – расчета переходныха процессов.а Диалоговоеа окноа команды (рис. ниже) содержит следующие данные:

Initial conditions — установка начальных условий моделирования;

Tstart — время начала анализа переходных процессов;

Tstop — время окончания анализа;

Generate time steps automatically — расчет переходных процессов с переменным ша-

гом, выбираемым автоматически в соответствии с допустимой относительной ошиб-кой RELTOL ; если эта опция выключена, то расчет ведется с учетома других опций;

Tstep — временной шаг вывода результатов моделирования на экран монитора.

Fourier ... — проведение Фурье-анализа (спектрального анализа). При выборе этой команды необходимо задать параметры моделирования с помощью диалого вого окна (рис. ниже), в котором опции имеют следующее назначение:

Output node — номер контрольной точки (узла), в которой анализируется спектр сигнала;

Fundamental frequency — основная частота колебания (частота первой гармоники);

Number harmonic —число гармоник, подлежащих анализу;

Vertical scale — масштаб по оси Y (линейный, логарифмический, в децибелах);

Advanced — набор опций этого блока предназначен для определения более тонкой структуры анализируемого сигнала путем введения дополнительных выборок (по умолчанию выключены);

Number of points per harmonic — количество отсчетов (выборок) на одну гармонику;

Sampling frequency — частота следования выборок;

Display phase — вывод на экран распределения фаз всех гармонических составляющих в виде непрерывной функции;

Output as line graph — вывод на экран распределения амплитуд всех гармоничес-ких составляющих в виде непрерывной функции (по умолчанию - в виде линейчантого спектра).

Monte Carlo ... — статистический анализ по методу Монте-Карло. В диалоговом окне установки параметров моделирования для этой команды (рис. ниже) задаютнся следующие параметры:

Number of runs — количество статистических испытаний;

Tolerance — отклонения параметров резисторов, конденсаторов, индуктивностей, источников переменного и постоянного тока и напряжения;

Seed — начальное значение случайной величины (этот параметр определяет начальнное значение датчика случайных чисел и может задаваться в пределах 1...32767); Distribution type — закон распределения случайных чисел: Uniform — равновероятнное распределение на отрезке (-1, +1) и Gaussian — гауссовское распределение на отнрезке (-1, +1) с нулевым средним значением и среднеквадратическим отклонением 0,25. Требуемый закон распределения выбирается после нажатия кнопки в поле раснсматриваемой опции.

Display Graph — этой командой вызываются на экран графики результатов вынполнения одной из команд моделирования. Если в процессе моделирования иснпользовано несколько команд этого меню, то результаты их выполнения накапливаются и на знакомом нам окне (см. рис. ниже) отображаются в виде занкладок с наименованием команд, которые могут перемещаться кнопками, раснположенными в правом верхнем углу окна. Это позволяет оперативно просматривать результаты моделирования без его повторного проведения. Отментим, что вызов команды происходит автоматически при выполнении первой же команды из меню Analysis . Если в схеме используется осциллограф, то после занпуска моделирования и предварительно установленной команды Display Graph в ее окне появляется закладка Oscilloscope с изображением осциллограммы; если используется измеритель АЧХ-ФЧХ, то появляется закладка Bode с изображением АЧХ и ФЧХ и т.д. Одновременно графическая информация выводится такнже и на основные приборы.

Меню Window

Меню Window содержит следующие команды:

Arrange (CTRL + W ) — упорядочивание информации в рабочем окне EWB пунтем перезаписи экрана, при этом исправляются искажения изображений компоненнтов и соединительных проводников;

Circuit — вывод схемы на передний план;

Description (CTRL + D ) — вывод на передний план описания схемы, если оно имеется, или окно-ярлык для его подготовки (только на английском языке).

Меню Help

Меню Help построено стандартным для Windows способом. Оно содержит краткие сведения по всем рассмотренным выше командам, библиотечным компоннентам и измерительным приборам, а также сведения о самой программе. Отментим, что для получения справки по библиотечному компоненту его необходимо отметить на схеме щелчком мыши (он высветится красным цветом) и затем нажать клавишу F 1.

Создание схем

В данной главе рассматривается процесс подготовки схем, состав библиотек компонентов EWB 5.0 и их краткие характеристики.

Технология подготовки схем

Прежде чем создавать чертеж принципиальной схемы средствами программы EWB , необходимо на листе бумаги подготовить ее эскиз с примерным расположенинем компонентов и с учетом возможности оформления отдельных фрагментов в виде подсхем. Целесообразно также ознакомиться с библиотекой готовых схем програмнмы для выбора аналога (прототипа) или использования имеюнщихся решений в качестве подсхем.

В общем случае процесс создания схемы начинается с размещения на рабочем поле EWB компонентов из библиотек программы в соответствии с подготовленным эскизом. Разделы библиотеки программы EBW поочередно могут вызваны с помощью меню Window или с помощью иконок, расположенных под линейкой контрольно-измерительных приборов. Каталог выбранной библинотеки располагается в вертикальном окне справа или слева от рабочего поля (устанавнливается в любое место перетаскиванием стандартным способом — за шапку заголовка). Для открытия каталога нужной библиотеки необходимо подвести курсор мыши к соответствующей иконке и нажать один раз ее левую кнопку, после чего сенрый фон иконки меняется на желтый. Необходимый для создания схемы значок (символ) компонента переносится из каталога на рабочее поле программы движением мыши при нажатой левой кнопке, после чего кнопка отпускается (для фиксирования символа) и производится двойной щелчок по значку компонента. В раскрывающемся диалоговом окне устанавливаются требуемые параметры (сопротивление резистора, тип транзистора и т.д.) и выбор подтверждается нажатием кнопки Accept или клавинши Enter . На этом этапе необходимо предусмотреть место для размещения контрольнных точек и иконок контрольно-измерительных приборов.

Если в схеме используются компоненты одинакового номинала (например, рензисторы с одинаковым сопротивлением), то номинал такого компонента рекомендунется задать непосредственно в каталоге библиотеки, и затем переносить компоненты в нужном количестве на рабочее поле. Для изменения номинала компонента необхондимо два раза щелкнуть мышью по символу его графического изображения и в раснкрывающемся после этого окне внести изменения.

При размещении компонентов схемы на рабочем поле программы EWB 5.0 можно воспользоваться динамическим меню.

После размещения компонентов производится соединение их выводов проводнниками. При этом необходимо учитывать, что к выводу компонента можно подклюнчить только один проводник. Для выполнения подключения курсор мыши подводится к выводу компонента и после появлении прямоугольной площадки синего цвета нажинмается левая кнопка и появляющийся при этом проводник протягивается к выводу другого компонента до появления на нем такой же прямоугольной площадки, после чего кнопка мыши отпускается, и соединение готово. При необходимости подключенния к этим выводам других проводников в библиотеке Passive выбирается точка (символ соединения) и переносится на ранее установленный проводник. Чтобы точка почернела (первоначально она имеет красный цвет), необходимо щелкнуть мышью по свободному месту рабочего поля. Если эта точка действительно имеет электрическое соединение с проводником, то она полностью окрашивается черным цветом. Если на ней виден след от пересекающего проводника, то электрического соединения нет и точку необходимо установить заново. После удачной установки к точке соединения можно подключить еще два проводника. Если соединение нужно разорвать, курсор подводится к одному из выводов компонентов или точке соединения и при появлении площадки нажимается левая кнопка, проводник отводится на свободное место рабоченго поля, после чего кнопка отпускается. Если необходимо подключить вывод к имеюнщемуся на схеме проводнику, то проводник от вывода компонента курсором подводится к указанному проводнику и после появления точки соединения кнопка мыши отпускается. Следует отметить, что прокладка соединительных проводников производится автоматически, причем препятствия — компоненты и другие проводнинки — огибаются по ортогональным направлениям (по горизонтали или вертикали).

Точка соединения может быть использована не только для подключения пронводников, но и для введения надписей (например, указания величины тока в проводннике, его функционального назначения и т.п.). Для этого необходимо дважды щелкнуть по точке и в раскрывшемся окне ввести необходимую запись (не более 14 символов), причем запись можно смещать вправо путем введения слева нужного конличества пробелов. Это свойство может быть использовано и в том случае, когда понзиционное обозначение компонента (например С1, R 10) накладывается на рядом проходящий проводник или другие элементы схемы.

Если необходимо переместить отдельный сегмент проводника, к нему подвондится курсор, нажимается левая кнопка и после появления в вертикальной или гонризонтальной плоскости двойного курсора производятся нужные перемещения.

Подключение к схеме контрольно-измерительных приборов производится аналогично. Причем для таких приборов как осциллограф или логический анализантор соединения целесообразно проводить цветными проводниками, поскольку их цвет определяет цвет соответствующей осциллограммы. Цветные проводники целенсообразны не только для обозначения проводников одинакового функционального назначения, но и для проводников, находящихся в разных частях схемы (например, проводники шины данных до и после буферного элемента).

При обозначении компонентов необходимо придерживаться рекомендаций и правил, предусмотренных ЕСКД (единой системой конструкторской документанции). Что касается пассивных компонентов, то при выборе их обозначений особых трудностей не возникает. Трудности возникают при выборе активных элементов -микросхем, транзисторов и т.п., особенно при необходимости использования компоннентов отечественного производства, когда требуется установить точное соответстнвие функциональных обозначений выводов и параметров зарубежных и отечественных компонентов. Для облегчения этой задачи можно воспользоваться таблицами соответствия зарубежных и отечественных компонентов.

При импортировании в создаваемую схему другой схемы или ее фрагментов целесообразно действовать в следующей последовательности:

А командой File > Save As записать в файл создаваемую схему, указав его имя в ди-нааа алоговом окне (расширение имени файла указывать не обязательно, программа сделает это автоматически);

Командой File > Open загрузить на рабочее поле импортируемую схему стандартнным для Windows образом;

Командой Edit > Select All выделить схему, если импортируется вся схема, или выделить ее нужную часть;

А командой Edit > Copy скопировать выделенную схему в буфер обмена;

А командой File > Open загрузить создаваемую схему;

Командой Edit > Paste вставить содержимое буфера обмена на рабочее поле; после вставки импортируемая схема будет выделена (и отмечена красным цветом) и может оказаться наложенной на создаваемую схему;

Клавишами управления курсором или мышью отбуксируйте импортированную часть в нужное место, после чего можно отменить выделение;

После подключения импортированной схемы необходимо щелчками мыши пройнтись по всем ее компонентам, чтобы исключить их смещения, возникающие при буксировке и приводящие к ступенчатым искажениям проводников.

Перемещения отдельных фрагментов схемы при ее компоновке выполняются вышеописанным образом после выделения фрагмента.

После подготовки схемы рекомендуется составить ее описание (окно-ярлык вызывается из меню Window > Description ), в котором указывается ее назначение; после проведения моделирования указываются его результаты. К сожалению, пронграмма EWB позволяет вводить описание только на английском языке. Кроме того, в EWB не предусмотрены средства для редактирования графических изображений компонентов, а также введения новых шрифтов.

Перейдем теперь к краткому обзору библиотечных компонентов программы EWB . При описании библиотек после названия компонента в скобках уканзываются назначаемые пользователем параметры. Например, для конденсатора это емкость, значение которой может быть установлено с помощью диалогового окна, а также температурные коэффициенты и разбросы, для опенрационного усилителя — тип, который может быть выбран с помощью меню и т.д.

Группа Favorites

а Заполнение раздела моделями компонентов или подсхем осуществляется программой автоматически одновременнно с загрузкой схемного файла и очищается после окончания работы с ним.

Группа Sources

а Рассмотрим основные компоненты:

аЗаземление.

аБатарея.

аИсточник постоянного тока.

аИсточник переменного синусоидального напряжения.

аИсточник переменного синусоидального тока.

аааИсточник напряжения, управляемый током или напряжением.

а аИсточник тока, управляемый током или напряжением.

а аИсточник фиксированного напряжения +5В/+15В.

аГенератор однополярных прямоугольных импульсов.

аГенератор амплитудно-модулированных колебаний.

аГенератор фазо-модулированных колебаний.

аПолиноминальный источник питания.

Группа Basic

аРассмотрим основные компоненты:

аТочка соединения проводников, используемая также для введения на схему наднписей длиной не более 14 символов (других способов введения текста в EWB не существует). Например, если на схеме требуется указать значение тока в какой-либо ветви, то на проводнике этой ветви ставится точка, затем двойным щелчком по точке вызывается диалоговое окно, в котором и выполняется соотнветствующая надпись.

аРезистор (сопротивление).

аКонденсатор.

аКатушка (индуктивность).

аТрансформатор с возможностью редактирования.

аРеле.

аПереключатель, управляемый нажатием задаваемой клавиши клавиатуры (по умолчанию — клавиша пробела).

аПереключатель, автоматически срабатывающий через заданное время на включение и выключение (время включения и выключения, с).

а аВыключатель, срабатывающий в заданном диапазоне входных напрянжений или токов (напряжение или ток включения и выключения).

аИсточник постоянного напряжения с последовательно включенным резистором (напряжение, сопротивление).

аПотенциометр, параметры задаются с помощью диалогового окна, в котором параметр Key определяет символ клавиши клавиатуры (по умолчанию R ), нажатием которой сопротивление уменьшатся на заданную величину в % (параметр Increment , подвижный контакт двигается влево) или увеличивается на такую же величину нажатием комбинации клавиш Shift + R (подвижный контакт двигается вправо); второй параметр — номинальное значенние сопротивления, третий — начальная установка сопротивления в % (по умолнчанию — 50%).

аСборка из восьми резисторов одинакового номинала.

аКонденсатор переменной емкости.

аКатушка переменной индуктивности.

Группа Diodes

аДиод.

аВыпрямительный мост.

аДиод Шокли.

аСимметричный динистор или диак.

аСимметричный тринистор или триак.

Группа Transistors

а Рассмотрим основные компоненты:

а аБиполярные п—р— n а и р—п —р транзисторы соответственно.

а аПолевые транзисторы с управляющим р n переходом.

а n -канальные с обогащенной подложкой p -канальные с обедненной подложкой, с раздельными или соединенными выводами подложки и истока.

а Полевые МОП-транзисторы с изолированным затвором n -канальные с обогащенным затвором и р-канальные с обедненным затвором, с раздельными или соединенными выводами подложки и истока (тип).

Группа Analog ICs

а Аналоговые микросхемы. Рассмотрим основные компоненты.

а аОперационные усилители.

аКомпаратор напряжений.

аМикросхема для фазовой автоподстройки частоты, состоящая из фазового детектора, фильтра нижних частот и управляемого напряжением генератора.

Группа Mixed ICs

аМикросхемы смешанного типа. Рассмотрим основные компоненты:

а8-разрядный АЦП.

а8-разрядный ЦАП с внешними опорными источниками тока и парафазным выходом.

а8-разрядный ЦАП с внешними опорными источниками напряжения.

аМоностабильный мультивибратор.

аПопулярная микросхема многофункционального таймера 555, отечественный аналог — КР1006ВИ1.

Группа Digital ICs

аЦифровые микросхемы. Рассмотрим основные компоненты:

аВ этой группе собраны модели цифровых ИМС серий SN 74 и CD 4000 (отечественные ИМС серий 155 и 176 соответственно). Для конкретных ИМС вместо символов хх ставятся соответствующие номера, например, SN 7407 – 6 буферных элементов с открытым коллектором.

Группа Logic Gates

а Группа Gates состоит из моделей базовых логических элементов и моделей цинфровых ИМС ТТЛ- и КМОП-серий. Рассмотрим основные элементы:

а аЛогические элементы И, И-НЕ.

а аЛогические элементы ИЛИ, ИЛИ-НЕ.

а аааЛогические элементы НЕ, буфер и тристабильный буфер – элемент с тремя состояниями.

аЦифровые ИМС ТТЛ и КМОП серий.

Группа Digital

а Цифровые микросхемы. Рассмотрим основные компоненты:

аПолусумматор.

аПолный сумматор.

аСерийные микросхемы мультиплексоров, декодеров/демультиплексоров, кодеров, элементов фрифметико-логических устройств.

а RS -триггер.

а JK -триггеры с прямым или инверсным тактовым входом и входами предустановки.

а D -триггеры без предустановки и со входами предустановки.

аСерийные микросхемы триггеров, счетчиков и регистров.

Группа Indicators

а Индикаторные устройства. Рассмотрим основные компоненты:

аВольтметр.

аАмперметр.

аЛампа накаливания.

аСветоиндикатор.

аСемисегментный индикатор.

аСемисегментный индикатор с дешифратором.

аЗвуковой индикатор.

аЛинейка из десяти независимых светодиодов.

аЛинейка из десяти светодиодов со встроенным АЦП.

Группа Controls

ааналоговые вычислительные устройства. Рассмотрим основные компоненты:

аДифференциатор.

аИнтегратор.

аМасштабирующее звено.

аФормирователь передаточных функций.

аТрехвходовой сумматор.

Группа Miscellaneous

аКомпоненты смешанного типа. Рассмотрим основные компоненты:

аПредохранитель.

аКварцевый резонатор.

аКоллекторный электродвигатель постоянного тока.

аФильтры-накопители на переключаемых индуктивностях.

Контрольно-измерительные приборы

аПанель контрольно-измерительных приборов находится в группе Instruments рабончего окна программы EWB .

Содержит цифровой мультиметр, функциональнный генератор, двухканальный осциллограф, измеритель амплитудно-частотных и фазо-частотных характеристик, генератор слов (кодовый генератор), 8-канальный лонгический анализатор и логический преобразователь. Общий порядок работы с приборами такой: иконка прибора курсором переносится на рабочее поле и подклюнчается проводниками к исследуемой схеме. Для приведения прибора в рабочее (разнвернутое) состояние необходимо дважды щелкнуть курсором по его иконке. Рассмотрим несколько приборов.

Мультиметр

На лицевой панели мультиметра (рис. выше) расположен дисплей для отображенния результатов измерения, клеммы для подключения к схеме и кнопки управления:

а— выбор режима измерения тока, напряжения, сопротивления и ослабленния (затухания);

а— выбор режима измерения переменного или постоянного тока;

а— режим установки параметров мультиметра. После нажатия на эту кнопнку открывается диалоговое окно, на котором обозначено:

Ammeter resistance — внутреннее сопротивление амперметра;

Voltmeter resistance -— входное сопротивление вольтметра;

Ohmmeter current — ток через контролируемый объект;

Decibel standard — установка эталонного напряжения VI при измерении ослабления или усиления в децибелах (по умолчанию VI =1 В).

Функциональный генератор

Лицевая панель генератора показана на рис. выше. Управление генератором осунществляется следующими органами управления:

а- выбор формы выходного сигнала: синусоидальной (выбрана по умолчанию), треугольной и прямоугольной;

frequency — установка частоты выходного сигнала;

Duty cycle — установка коэффицента заполнения в %: для импульсных сигнналов это отношение длительности импульса к периоду повторения — величина, обратная скважности, для треугольных сигналов — соотношение между длинтельностями переднего и заднего фронта;

amplitude — установка амплитуды выходного сигнала;

Offset – установка смещения (постоянной составляющей) выходного сигнала;

а— выходные зажимы; при заземлении клеммы СОМ (общий) на клеммах "-" и "+" получаем парафазный сигнал.

Осциллограф


Лицевая панель осциллографа показана на рис. выше. Осциллограф имеет два канала (CHANNEL ) А и В с раздельной регулировкой чувствительности в диапазоне от 10 мкВ/дел (mV / Div ) до 5 кВ/дел (kV / Div ) и регулировкой смещения по вертиканли (Y POS ). Выбор режима по входу осуществляется нажатием кнопок . Ренжим АС предназначен для наблюдения только сигналов переменного тока (его еще называют режимом "закрытого входа", поскольку в этом режиме на входе усилителя включается разделительный конденсатор, не пропускающий постоянную составляющую). В режиме 0 входной зажим замыкается на землю. В режиме DC (включен умолчанию) можно проводить осциллографические измерения как постоянного, и переменного тока. Этот режим еще называют режимом "открытого входа", поскольку входной сигнал поступает на вход вертикального усилителя непосредственно. С правой стороны от кнопки DC расположен входной зажим.

Режим развертки выбирается кнопками . В режиме Y / T (обычный режим, включен по умолчанию) реализуются следующие режимы развертки: по вернтикали — напряжение сигнала, по горизонтали — время; в режиме В/А: по вертиканли -- сигнал канала В, по горизонтали -- сигнал канала А; в режиме А/В: по вертикали — сигнал канала А, по горизонтали — сигнал канала В.

В режиме развертки Y / T длительность развертки (TIME BASE ) может быть зандана в диапазоне от 0,1 нс/дел (ns / div ) до 1 с/дел (s / div ) с возможностью установки смещения в тех же единицах по горизонтали, т.е. по оси X (X POS ).

В режиме Y / T предусмотрен также ждущий режим (TRIGGER ) с запуском развертки (EDGE ) по переднему или заднему фронту запускающего сигнала (выбинрается нажатием кнопок ) при регулируемом уровне (LEVEL ) запуска, а также в режиме AUTO (от канала А или В), от канала А, от канала В или от внешнего иснточника (ЕХТ), подключаемого к зажиму в блоке управления TRIGGER . Названные режимы запуска развертки выбираются кнопками .

Заземление осциллографа осуществляется с помощью клеммы GROUND в правом верхнем углу прибора.

При нажатии на кнопку ZOOM лицевая панель осциллографа существенно менняется — увеличивается размер экрана, появляется возможность пронкрутки изображения по горизонтали и его сканирования с помощью вертикальных визирных линий (синего и красного цвета), которые за треугольные ушки (они обонзначены также цифрами 1 и 2) могут быть курсором установлены в любое место экнрана. При этом в индикаторных окошках под экраном приводятся результаты измерения напряжения, временных интервалов и их приращений (между визирнынми линиями).

Изображение можно инвертировать нажатием кнопки REVERSE и записать данные в файл нажатием кнопки SAVE . Возврат к исходному состоянию осциллонграфа — нажатием кнопки REDUCE .

Измеритель АЧХ и ФЧХ


Лицевая панель измерителя АЧХ-ФЧХ показана на рис. выше. Измеритель предназначен для анализа амплитудно-частотных (при нажатой кнопке MAGNI н TUDE , включена по умолчанию) и фазо-частотных (при нажатой кнопке PHASE ) ханрактеристик при логарифмической (кнопка LOG , включена по умолчанию) или линейной (кнопка LIN ) шкале по осям Y (VERTICAL ) и X (HORIZONTAL ). Настройнка измерителя заключается в выборе пределов измерения коэффициента передачи и вариации частоты с помощью кнопок в окошках F — максимальное и I — минимальнное значение. Значение частоты и соответствующее ей значение коэффициента перендачи или фазы индицируются в окошках в правом нижнем углу измерителя. Значения указанных величин в отдельных точках АЧХ или ФЧХ можно получить с помощью вертикальной визирной линии, находящейся в исходном состоянии в нанчале координат и перемещаемой по графику мышью. Результанты измерения можно записать также в текстовый файл. Для этого необходимо нажать кнопку SAVE и в диалоговом окне указать имя файла (по умолчанию преднлагается имя схемного файла). В полученном таким образом текстовом файле с раснширением. bod АЧХ и ФЧХ представляются в табличном виде.

Подключение прибора к исследуемой схеме осуществляется с помощью за-жимов IN (вход) и OUT (выход). Левые клеммы зажимов подключаются соответст-венно ко входу и выходу исследуемого устройства, а правые — к общей шине. Ко входу устройства необходимо подключить функциональный генератор или другой источник переменного напряжения, при этом каких-либо настроек в этих устройстнве требуется.

Разработка любого радиоэлектронного устройства сопровождается физическим или математическим моделированием. Физическое моделирование связано с большими материальными затратами, поскольку требуется изготовление макетов и их трудоемкое исследование. Часто физическое моделирование просто невозможно из-за чрезвычайной сложности устройства, например, при разработке больших и сверхбольших интегральных микросхем. В этом случае прибегают к математическому моделированию с использованием средств и методов вычислительной техники.

Например, известный пакет P-CAD содержит блок логического моделирования цифровых устройств, однако для начинающих, в том числе и для студентов, он представляет значительные трудности в освоении. Не меньшие трудности встречаются и при использовании системы DesignLab. Как показал анализ состояния программного обеспечения схемотехнического моделирования, на этапе начального освоения методов автоматизированного проектирования и на этапах проведения поисково-исследовательских работ целесообразно рассмотреть возможность использования следующих программ типа Electronics Workbench - EWB.
Система схемотехнического моделирования Electronics Workbench предназначена для моделирования и анализа электрических схем рис.1. Правильно говорить: система моделирования и анализа электрических схем Electronics Workbench, но для краткости здесь и далее мы будем называть её программой.
Программа Electronics Workbench позволяет моделировать аналоговые, цифровые и цифро-аналоговые схемы большой степени сложности. Имеющиеся в программе библиотеки включают в себя большой набор широко распространенных электронных компонентов. Есть возможность подключения и создания новых библиотек компонентов.

Параметры компонентов можно изменять в широком диапазоне значений. Простые компоненты описываются набором параметров, значения которых можно изменять непосредственно с клавиатуры, активные элементы - моделью, представляющей собой совокупность параметров и описывающей конкретный элемент или его идеальное представление.
Модель выбирается из списка библиотек компонентов, параметры модели также могут быть изменены пользователем. Широкий набор приборов позволяет производить измерения различных величин, задавать входные воздействия, строить графики. Все приборы изображаются в виде, максимально приближенном к реальному, поэтому работать с ними просто и удобно.
Результаты моделирования можно вывести на принтер или импортировать в текстовый или графический редактор для их дальнейшей обработки. Программа Electronics Workbench совместима с программой P-SPICE, то есть предоставляет возможность экспорта и импорта схем и результатов измерений в различные её версии.

Основные достоинства программы
Экономия времени Работа в реальной лаборатории требует больших временных затрат на подготовку эксперимента. Теперь, с появлением Electronics Workbench, электронная лаборатория всегда будет под рукой, что позволяет сделать изучение электрических схем более доступным. Достоверность измерений
В природе не существует двух совершенно одинаковых элементов, то есть все реальные элементы имеют большой разброс значений, что приводит к погрешностям в ходе проведения эксперимента. В Electronics Workbench все элементы описываются строго установленными параметрам, поэтому каждый раз в ходе эксперимента будет повторяться результат, определяемый только параметрами элементов и алгоритмом расчета.
Удобство проведения измерений Учеба невозможна без ошибок, а ошибки в реальной лаборатории порой очень дорого обходятся экспериментатору. Работая с Electronics Workbench, экспериментатор застрахован от случайного поражения током, а приборы не выйдут из строя из-за неправильно собранной схемы. Благодаря этой программе в распоряжении пользователя имеется такой широкий набор приборов, который вряд ли будет доступен в реальной жизни.
Таким образом, у Вас всегда имеется уникальная возможность для планирования и проведения широкого спектра исследований электронных схем при минимальных затратах времени. Графические возможности Сложные схемы занимают достаточно много места, изображение при этом стараются сделать более плотным, что часто приводит к ошибкам в подключении проводников к элементам цепи. Electronics Workbench позволяет разместить схему таким образом, чтобы были чётко видны все соединения элементов и одновременно вся схема целиком.

Интуитивность и простота интерфейса делают программу доступной любому, кто знаком с основами использования Windows. Совместимость с программой P-SPICE Программа Electronics Workbench базируется на стандартных элементах программы SPICE. Это позволяет экспортировать различные модели элементов и проводить обработку результатов, используя дополнительные возможности различных версий программы Р-SPICE.

Компоненты и проведение экспериментов
В библиотеки компонентов программы входят пассивные элементы, транзисторы, управляемые источники, управляемые ключи, гибридные элементы, индикаторы, логические элементы, триггерные устройства, цифровые и аналоговые элементы, специальные комбинационные и последовательные схемы.
Активные элементы могут быть представлены моделями как идеальных, так и реальных элементов. Возможно также создание своих моделей элементов и добавление их в библиотеки элементов. В программе используется большой набор приборов для проведения измерений: амперметр, вольтметр, осциллограф, мультиметр, Боде-плоттер (графопостроитель частотных характеристик схем), функциональный генератор, генератор слов, логический анализатор и логический преобразователь.
Анализ схем Electronics Workbench может проводить анализ схем на постоянном и переменном токах. При анализе на постоянном токе определяется рабочая точка схемы в установившемся режиме работы. Результаты этого анализа не отражаются на приборах, они используются для дальнейшего анализа схемы. Анализ на переменном токе использует результаты анализа на постоянном токе для получения линеаризованных моделей нелинейных компонентов.
Анализ схем в режиме АС может проводиться как во временной, так и в частотной областях. Программа также позволяет производить анализ цифро-аналоговых и цифровых схем. В Electronics Workbench можно исследовать переходные процессы при воздействии на схемы входных сигналов различной формы.

Операции, выполняемые при анализе:
Electronics Workbench позволяет строить схемы различной степени сложности при помощи следующих операций:
. выбор элементов и приборов из библиотек,
. перемещение элементов и схем в любое место рабочего поля,
. поворот элементов и групп элементов на углы, кратные 90 градусам,
. копирование, вставка или удаление элементов, групп элементов, фрагментов схем и целых схем,
. изменение цвета проводников,
. выделение цветом контуров схем для более удобного восприятия,
. одновременное подключение нескольких измерительных приборов и наблюдение их показаний на экране монитора,
. присваивание элементу условного обозначения,
. изменение параметров элементов в широком диапазоне. Все операции производятся при помощи мыши и клавиатуры. Управление только с клавиатуры невозможно.

Путем настройки приборов можно:
. изменять шкалы приборов в зависимости от диапазона измерений,
. задавать режим работы прибора,
. задавать вид входных воздействий на схему (постоянные и гармонические токи и напряжения, треугольные и прямоугольные импульсы).
Графические возможности программы позволяют:
. одновременно наблюдать несколько кривых на графике,
. отображать кривые на графиках различными цветами,
. измерять координаты точек на графике,
. импортировать данные в графический редактор, что позволяет произвести необходимые преобразования рисунка и вывод его на принтер.
Electronics Workbench позволяет использовать результаты, полученные в программах Р-SPICE, РСВ, а также передавать результаты из Electronics Workbench в эти программы. Можно вставить схему или её фрагмент в текстовый редактор и напечатать в нем пояснения или замечания по работе схемы.

Работа с Electronics Workbench
Программа Electronics Workbench предназначена для моделирования и анализа электронных схем. Возможности программы Electronics Workbench v.5 примерно эквивалентны возможностям программы MicroCap и позволяют выполнять работы от простейших экспериментов до экспериментов по статистическому моделированию.
При создании схемы Electronics Workbench позволяет:
-выбирать элементы и приборы из библиотек,

Перемещать элементы и схемы в любое место рабочего поля,

Поворачивать элементы и их группы на углы, кратные 90 градусам,

Копировать, вставлять или удалять элементы, фрагменты схем,

Изменять цвета проводников,

Выделять цветом контура схем,

Одновременно подключать несколько измерительных приборов и наблюдать их показания на экране монитора,
-присваивать элементам условные обозначения,

Изменять параметры элементов.

Изменяя настройки приборов можно:
-изменять шкалы приборов в зависимости от диапазона измерений,

Задавать режим работы прибора,

Задавать вид входных воздействий на схему (постоянные или гармонические токи или напряжения, треугольные или прямоугольные импульсы).

Вставлять схему или ее фрагмент в текстовый редактор, в котором печатается пояснение по работе схемы.

Компоненты Electronics Workbench
После запуска WEWB32 на экране появляются строка меню и панель компонентов.
Панель компонентов состоит из пиктограмм полей компонентов, а поле компонентов - из условных изображений компонентов.
Щелчком мыши на пиктограмме компонентов открывается поле соответствующее этой пиктограмме.
Ниже приведены некоторые элементы из полей компонентов:

Basic (базовые компоненты)

Соединяющий узел

Узел служит для соединения проводников и создания контрольных точек.

Резистор

Сопротивление резистора может быть задано числом в Ом, кОм, МОм

Конденсатор

ёмкость конденсатора задается числом с указанием размерности (пФ, нФ, мкФ, мФ, Ф).

Ключ

Ключ, управляемый клавишей. Такие ключи могут быть замкнуты или разомкнуты при помощи управляемых клавиш на клавиатуре. (Имя управляющей клавиши можно ввести с клавиатуры в диалоговом окне, появляющемся после двойного щелчка мышью на изображении ключа.)

Sources (Источники)

Земля

Компонент "Заземление" имеет нулевое напряжение и служит точкой для отсчета потенциалов.

Источник постоянного напряжения 12В

ЭДС источника постоянного напряжения указывается числом с указанием размерности (от мкВ до кВ)

Источник постоянного тока 1А

Ток источника постоянного тока задается числом с указанием размерности (от мкА до кА)

Источник переменного напряжения 220 В / 50 Гц

Действующее значение (root-mean-sguare-RMS) напряжения источника задается числом с указанием размерности (от мкВ до кВ). Имеется возможность установки частоты и начальной фазы.

Источник переменного тока 1 А/1 Гц

Действующее значение тока источника задается числом с указанием размерности (от мкА до кА). Имеется возможность установки частоты и начальной фазы.

Генератор тактов 1000 Гц / 50%

Генератор вырабатывает периодическую последовательность прямоугольных импульсов. Можно регулировать амплитуду импульсов, скважность и частоту следования импульсов.

Indicators (Приборы из библиотеки индикаторов)

Простейшими приборами являются вольтметр и амперметр. Они автоматически изменяют диапазон измерений. В одной схеме можно применять несколько таких приборов одновременно.

Вольтметр

Вольтметр используется для измерения переменного или постоянного напряжения. Выделенная толстой линией сторона прямоугольника соответствует отрицательной клемме.
Двойным щелчком на изображении вольтметра открывается диалоговое окно для изменения параметров вольтметра:
-величины внутреннего сопротивления {по умолчанию 1МОм),
-вида измеряемого напряжения (DC-постоянное, АС-переменное).
При измерении переменного синусоидального напряжения (АС) вольтметр показывает действующее значение

Амперметр

Амперметр используется для измерения переменного или постоянного тока. Выделенная толстой линией сторона прямоугольника соответствует отрицательной клемме.
Двойным щелчком на изображении амперметра открывается диалоговое окно для изменения параметров амперметра
Овеличины внутреннего сопротивления {по умолчанию 1мОм),
Овида измеряемого напряжения (DC-постоянное, АС-переменное).
При измерении переменного синусоидального напряжения (АС) амперметр показывает действующее значение

Instruments

1 .Функциональный генератор

Генератор является идеальным источником напряжения, вырабатывающего сигналы синусоидальной, либо треугольной, либо прямоугольной формы. Средний вывод генератора при подключении к схеме обеспечивает общую точку для отсчета амплитуды переменного напряжения. Для отсчета напряжения относительно нуля этот вывод заземляют. Крайний левый и правый выводы служат для подачи сигнала на схему. Напряжение на правом выводе изменяется в положительном направлении относительно общего вывода, на левом выводе - в отрицательном.
При двойном щелчку мыши на изображении генератора открывается увеличенное изображение генератора на котором можно задать:
-форму выходного сигнала,
-частоту выходного напряжения (Frequency),
-скважность (Duty cycle),
-амплитуду выходного напряжения (Amplitude),
-постоянную составляющую выходного напряжения (Offset).

2. Осциллограф

На изображении осциллографа имеется четыре входных зажима
-верхний правый зажим - общий,
-нижний правый - вход синхронизации,
-левый и правый нижние зажимы представляют собой входы Канал А и Канал В соответственно.
Двойным щелчком по уменьшенному изображению осциллографа открывается изображение простой модели осциллографа на которой можно установить
-расположение осей, по которым откладывается сигнал,
-нужный масштаб развертки по осям,
-смещение начала координат по осям,
-емкостной вход (кнопка АС) или потенциальный вход (кнопка DC) канала,
-режим синхронизации (внутренний или внешний).

Поле Trigger служит для определения момента начала развертки на экране осциллографа. Кнопки в строке Edge задают момент запуска осциллограммы по положительному или отрицательному фронту импульса на входе синхронизации. Поле Level позволяет задавать уровень при превышении которого запускается развертка.
Кнопки Auto, А, В, Ext задают режимы синхронизации
-Auto -автоматический запуск развертки при включении схемы. Когда луч доходит до конца экрана, осциллограмма прописывается с начала экрана,
-А - запускающим является сигнал, поступающий на вход А,
-В - запускающим является сигнал, поступающий на вход В,
-Ext - Внешний запуск. В этом случае сигналом запуска является сигнал, подаваемый на вход синхронизации.

Нажатие кнопки EXPAND на простой модели осциллографа открывает расширенную модель осциллографа. В отличие от простой модели здесь имеются три информационных табло, на которых выводятся результаты измерений. Кроме этого, непосредственно под экраном находится линейка прокрутки, позволяющая наблюдать любой временной отрезок от момента включения до момента выключения схемы.

На экране осциллографа расположены два курсора (красный и синий), обозначаемые 1 и 2, при помощи которых можно измерить мгновенные значения напряжений в любой точке осциллограммы. Для этого курсоры перетаскиваются мышью в требуемое положение (мышью захватывают треугольники в верхней части курсора).
Координаты точек пересечения первого курсора с осциллограммами отображаются на левом табло, координаты второго курсора на среднем табло. На правом табло отображаются значения разностей между соответствующими координатами первого и второго курсоров.
Кнопка Reduce обеспечивает переход к простой модели осциллографа.

3. Графопостроитель (Боде-плоттер)

Используется для построения амплитудно-частотных (АЧХ) и фазо-частотных <ФЧХ) характеристик схемы.
Графопостроитель измеряет отношение амплитуд сигналов в двух точках схемы и фазовый сдвиг между ними. Для измерений графопостроитель генерирует собственный спектр частот, диапазон которого может задаваться при настройке прибора. Частота любого переменного источника в исследуемой схеме игнорируется, однако схема должна включать какой либо источник переменного тока.
Графопостроитель имеет четыре зажима: два входных (IN) и два выходных (OUT). Левые выводы входов IN и OUT подключаются к исследуемым точкам, а правые выводы входов IN и OUT заземляются.
При двойном щелчке по изображению графопостроителя открывается его увеличенное изображение.

Кнопка MAGNITUDE нажимается для получения АЧХ, кнопка PHASE - для получения ФЧХ.
Панель VERTICAL задает:
-начальное (I) значение параметра вертикальной оси,
-конечное (F) значение параметра вертикальной оси
-вид шкалы вертикальной оси - логарифмическая (LOG) или линейная (LIN).
Панель HORIZONTAL настраивается аналогично.
При получении АЧХ по вертикальной оси откладывается отношение напряжений:
-в линейном масштабе от 0 до 10Е9;
-в логарифмическом масштабе от - 200 dB до 200 dB.
При получении ФЧХ по вертикальной оси откладываются градусы от -720 градусов до +720 градусов.
По горизонтальной оси всегда откладывается частота в Гц или в производных единицах.
В начале горизонтальной шкалы расположен курсор. Координаты точки перемещения курсора с графиком выводятся на информационных полях внизу справа.

Моделирование схем
Исследуемая схема собирается на рабочем поле с использованием мыши и клавиатуры.
При построении и редактировании схем выполняются следующие операции:
-выбор компонента из библиотеки компонентов;
-выделение объекта;
-перемещение объекта;
-копирование объектов;
-удаление объектов;
-соединение компонентов схемы проводниками;
-установка значений компонентов;
-подключение измерительных приборов.
После построения схемы и подключения приборов анализ работы схемы начинается после нажатия выключателя в правом верхнем углу окна программы (при этом в нижнем левом углу экрана показываются моменты схемного времени).
Повторное нажатие выключателя прекращает работу схемы.
Сделать паузу при работе схемы можно нажатием клавиши F9 на клавиатуре; повторное нажатие F9 возобновляет работу схемы (аналогичного результата можно добиться нажимая кнопку Pause, расположенную под выключателем.)
Выбор компонента необходимого для построения схемы производится после выбора поля компонентов, содержащего необходимый элемент. Этот элемент захватывается мышью и перемещается на рабочее поле.
Выделение объекта. При выборе компонента необходимо щелкнуть на нем левой клавишей мыши. При этом компонент становится красным. (Снять выделение можно щелчком мыши в любой точке рабочего поля.)
Перемещение объекта. Для перемещения объекта его выделяют, устанавливают указатель мыши на объект и, держа нажатой левую клавишу мыши перетаскивают объект.
Объект можно поворачивать. Для этого объект нужно предварительно выделить, затем щелкнуть правой клавишей мыши и выбрать необходимую операцию
-Rotate (поворот на 90 градусов),
-Flip vertical (переворот по вертикали),
-Flip horizontal (переворот по горизонтали)
Копирование объектов осуществляется командой Сору из меню Edit. Перед копированием объект нужно выделить. При выполнении команды выделенный объект копируется в буфер. Для вставки содержимого буфера на рабочее поле нужно выбрать команду Paste из меню Edit
Удаление объектов. Выделенные объекты можно удалить командой Delete.
Соединение компонентов схемы проводниками. Для соединения компонентов проводниками нужно подвести указатель мыши к выводу компонента (при этом на выводе появится черная точка). Нажав левую кнопку мыши, переместите ее указатель к выводу компонента, с которым нужно соединиться, и отпустите кнопку мыши. Выводы компонентов соединятся проводником.
Цвет проводника можно изменить, если дважды щелкнуть по проводнику мышью и выбрать из появившегося окна необходимый цвет.
Удаление проводника. Если по какой -либо причине проводник нужно удалить, необходимо подвести указатель мыши к выходу компонента (должна появиться черная точка). Нажав левую клавишу мыши, переместите ее на пустое место рабочего поля и отпустите кнопку мыши. Проводник исчезнет.

Установка значений параметров производится в диалоговом окне свойств компонента, которое открывается двойным щелчком мыши по изображению компонента (Закладка Value).
Каждому компоненту можно присвоить имя (Закладка Label)
Подключение приборов. Для подключения прибора к схеме нужно мышью перетащить прибор с панели инструментов на рабочее поле и подключить выводы прибора к исследуемым точкам. Некоторые приборы необходимо заземлять, иначе их показания будут неверными.
Расширенное изображение прибора появляется при двойном щелчке по уменьшенному изображению.
Упражнение: Соберите схему делителя напряжения, показанную на рисунке.
-Подайте на вход схемы с функционального генератора синусоидальное напряжение частотой 3 кГц и амплитудой 5 В,
-Этот же сигнал подключите к каналу А осциллографа,
-Подключите к выходу делителя канал В осциллографа,
-выделите проводники канала А и канала В различными цветами,
-Включите схему, при необходимости измените настройки измерительных приборов,
-Перейдите к расширенной модели осциллографа. Используя курсор и левое информационное табло измерьте амплитудное значение выходного сигнала.
-Дополнительно подключите к входу и выходу вольтметры и снова включите схему.
Добейтесь правильных показаний вольтметров.

Генератор слов
На схему выводится уменьшенное изображение генератора слов

На 16 выходов в нижней части генератора параллельно подаются биты генерируемого слова.
На выход тактового сигнала (правый нижний) подается последовательность тактовых импульсов с заданной частотой.
Вход синхронизации используется для подачи синхронизирующего импульса от внешнего источника.
Двойным щелчком мыши открывается расширенное изображение генератора

Левая часть генератора содержит 16 разрядные слова, задаваемые в шестнадцатеричном коде. Каждая кодовая комбинация заносится с помощью клавиатуры. Номер редактируемой ячейки (от О до 03FF, т.е. от 0 до 2047) высвечивается в окошке Edit. В процессе работы генератора в отсеке Address индицируется адрес текущей ячейки (Current), начальной ячейки (Initial) и конечной ячейки (Final). Выдаваемые на 16 выходов (внизу генератора) кодовые комбинации индицируются в коде ASCII и двоичном коде (Binary).
Генератор может работать в шаговом, циклическом и непрерывном режимах.
-Кнопка Step переводит генератор в пошаговый режим;
-Жнопка Burst - в циклический режим (на выход генератора однократно последовательно поступают все слова;
-Кнопка Cycle - в непрерывный режим. Для того чтобы прервать работу в непрерывном режиме, нужно еще раз нажать кнопку Cycle.
Панель Trigger определяет момент запуска генератора (Internal - внутренняя синхронизация, External - внешняя синхронизация по готовности данных.)
Режим внешней синхронизации используется в случае, когда исследуемое устройство может квитировать (подтверждать) получение данных. В этом случае на устройство вместе с кодовой комбинацией поступает сигнал с клеммы Data ready , а исследуемое устройство должно выдать сигнал получения данных, который должен быть подключен к клемме Trigger генератора слов. Этот сигнал и производит очередной запуск генератора.
Кнопка Breakpoint прерывает работу генератора в указанной ячейке. Для этого нужно выбрать требуемую ячейку курсором, а затем нажать кнопку Breakpoint
Кнопка Pattern открывает меню с помощью которого можно
Clear buffer - стереть содержимое всех ячеек,
Open - загрузить кодовые комбинации из файла с расширением.dp.
Save - записать все набранные на экране комбинации в файл;
Up counter - заполнить буфер экрана кодовыми комбинациями, начиная с 0 в нулевой ячейке и далее с прибавлением единицы в каждой последующей ячейке;
Down counter - заполнить буфер экрана кодовыми комбинациями, начиная с FFFF в нулевой ячейке и далее с уменьшением на 1 в каждой последующей ячейке;

Shift right - заполнить каждые четыре ячейки комбинациями 8000-4000-2000-1000 со смещением их в следующих четырех ячейках вправо;
Shift left -то же самое, но со смещением влево.

Логический анализатор
На схему выводится уменьшенное изображение логического анализатора

Логический анализатор подключается к схеме с помощью выводов в его левой части. Одновременно могут наблюдаться сигналы в 16 точках схемы. Анализатор снабжен двумя визирными линейками, что позволяет получать отсчеты временных интервалов Т1, Т2, Т2-Т1, а также линейкой прокрутки по горизонтали

В блоке Clock имеются клеммы для подключения обычного External и избирательного Qualifier источника запускающих сигналов, параметры которых могут быть установлены с помощью меню, вызываемого кнопкой Set.
Запуск можно производить по переднему (Positive) или заднему (Negative) фронту запускающего сигнала с использованием внешнего (External) или внутреннего (Internal) источника. В окне Clock qualifier можно установить значение логического сигнала (0,1 или х) при котором производится запуск анализатора.
Внешняя синхронизация может осуществлятся комбинацией логических уровней, подаваемых на входы каналов анализатора.